ChipFind - документация

Электронный компонент: S29JL032H60TAI

Скачать:  PDF   ZIP

Document Outline

UuvqprphvvshvhqpqrqrryrhA6TGGG8UurvshvvvrqrquryrhyhruvqpA6TGGG8rrrur
vtupuhtrqvpvrxuvrqqpvuvpr
QiyvphvIir6-/+BSrvv66rqr Dr9hr6t$!#
$'9$1&( ,1)250$7,21
6-/+
0HJDELW 0 [ %LW 0 [ %LW
&026 9ROWRQO\ 6LPXOWDQHRXV 5HDG:ULWH
)ODVK 0HPRU\
'LVWLQFWLYH &KDUDFWHULVWLFV
$UFKLWHFWXUDO $GYDQWDJHV
TvyhrSrhqXvrrhv
9hhphirpvyrhqsrihxuvyr
rrpvtrhrthspvvhurihx
aryhrpirrrrhqhqvrrhv
Hyvyr7hxhpuvrpr
AihxhpuvrprhhvyhiyrrsrUhiyr
!
7Trp
Uhqiirpvurhrqrvpr
6pivhvsrpphirrhrq
Hhshprq "prrpuyt
TrpTvTrprqTvyvpTrp)@h!$%7r
rp
Ahpypxrqhqvqrvsvhiyr) %irhhvyhiyrs
rprhqshp@yrpvpTrvhyIir0
rvsvhiyrhshpypxrqutuhryrp
spv
8rypxhiyr)Prvrthhiyry
Pprypxrqqhhphirpuhtrq
arQrPrhv
Tuvvphrqrhhtrrpvpvrqpr
rprqqvtvhpvrrvqrhy
r
8hviyrvuE@9@8hqhq
Qvhqshrphviyrvuvtyrr
ysyhuhqhq
3DFNDJH RSWLRQV
#'vUTPQ
3HUIRUPDQFH &KDUDFWHULVWLFV
Cvturshpr
6pprvrhshh$$
Qthvr)#qvphyvthppryrhrq
thvtspv
Vyhyrpvvphyhyr
!6hpvrrhqprh HC
6hpvrrhqprh$HC
!6vhqihhvpyrrqr
8pyvt@qhpr) vyyvppyrrrp
vphy
9hhSrrv)!rhvphy
6RIWZDUH )HDWXUHV
T8AyhuHrDrshpr8AD
@hrTrq@hrSrr
Trqrhrrhvrhqqhhs
thqhhhrpuhvirvtrhrq
urrrurrhrrhv
9hhQyyvthqUttyr7v
Qvqrhshrruqsqrrpvturhs
thrhrppyr
Vypx7hQthphq
Srqprrhyythvtvrurvvt
yvyrthphqrrpr
+DUGZDUH )HDWXUHV
Srhq7S`7`
Chqhrruqsqrrpvtthrhr
ppyrpyrv
ChqhrrrvS@T@U
Chqhrruqsrrvturvrhyhr
hpuvrurrhqqr
XQ688vv
XvrrpXQspvrpur
rirprthqyrsrprp
h
6ppryrhv688spvhppryrhrth
vvt
Trprpv
Chqhrruqrrhthrhr
rhvvuvhrp
UrhTrpVrphyypuhtvtqhhv
rprqrpvr
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
*HQHUDO 'HVFULSWLRQ
7KH 6-/+ LV D PHJDELW YROWRQO\ IODVK PHPRU\ GHYLFH RUJDQL]HG DV
ZRUGV RI ELWV HDFK RU E\WHV RI ELWV HDFK :RUG PRGH
GDWD DSSHDUV RQ '4'4 E\WH PRGH GDWD DSSHDUV RQ '4'4 7KH GHYLFH
LV GHVLJQHG WR EH SURJUDPPHG LQV\VWHP ZLWK WKH VWDQGDUG YROW 9
&&
VXSSO\
DQG FDQ DOVR EH SURJUDPPHG LQ VWDQGDUG (3520 SURJUDPPHUV
7KH GHYLFH LV DYDLODEOH ZLWK DQ DFFHVV WLPH RI RU QV DQG LV RIIHUHG
LQ D SLQ 7623 SDFNDJH 6WDQGDUG FRQWURO SLQVFKLS HQDEOH &( ZULWH HQ
DEOH :( DQG RXWSXW HQDEOH 2( FRQWURO QRUPDO UHDG DQG ZULWH RSHUDWLRQV
DQG DYRLG EXV FRQWHQWLRQ LVVXHV
7KH GHYLFH UHTXLUHV RQO\ D VLQJOH YROW SRZHU VXSSO\ IRU ERWK UHDG DQG ZULWH
IXQFWLRQV ,QWHUQDOO\ JHQHUDWHG DQG UHJXODWHG YROWDJHV DUH SURYLGHG IRU WKH SUR
JUDP DQG HUDVH RSHUDWLRQV
6LPXOWDQHRXV 5HDG:ULWH 2SHUDWLRQV ZLWK =HUR /DWHQF\
7KH 6LPXOWDQHRXV 5HDG:ULWH DUFKLWHFWXUH SURYLGHV VLPXOWDQHRXV RSHUDWLRQ
E\ GLYLGLQJ WKH PHPRU\ VSDFH LQWR VHSDUDWH EDQNV VHH 7DEOH
6HFWRU DG
GUHVVHV DUH IL[HG V\VWHP VRIWZDUH FDQ EH XVHG WR IRUP XVHUGHILQHG EDQN
JURXSV
'XULQJ DQ (UDVH3URJUDP RSHUDWLRQ DQ\ RI WKH QRQEXV\ EDQNV PD\ EH UHDG
IURP 1RWH WKDW RQO\ WZR EDQNV FDQ RSHUDWH VLPXOWDQHRXVO\ 7KH GHYLFH FDQ LP
SURYH RYHUDOO V\VWHP SHUIRUPDQFH E\ DOORZLQJ D KRVW V\VWHP WR SURJUDP RU HUDVH
LQ RQH EDQN WKHQ LPPHGLDWHO\ DQG VLPXOWDQHRXVO\ UHDG IURP WKH RWKHU EDQN ZLWK
]HUR ODWHQF\ 7KLV UHOHDVHV WKH V\VWHP IURP ZDLWLQJ IRU WKH FRPSOHWLRQ RI SURJUDP
RU HUDVH RSHUDWLRQV
7KH 6-/+ FDQ EH RUJDQL]HG DV ERWK D WRS DQG ERWWRP ERRW VHFWRU
FRQILJXUDWLRQ
6-/+ )HDWXUHV
7KH 6HF6L 6HFXUHG 6LOLFRQ 6HFWRU LV DQ H[WUD E\WH VHFWRU FDSDEOH RI
EHLQJ SHUPDQHQWO\ ORFNHG E\ 6SDQVLRQ RU FXVWRPHUV 7KH 6HF6L &XVWRPHU ,QGL
FDWRU %LW '4 LV SHUPDQHQWO\ VHW WR LI WKH SDUW KDV EHHQ FXVWRPHU ORFNHG
SHUPDQHQWO\ VHW WR LI WKH SDUW KDV EHHQ IDFWRU\ ORFNHG DQG LV LI FXVWRPHU ORFN
DEOH 7KLV ZD\ FXVWRPHU ORFNDEOH SDUWV FDQ QHYHU EH XVHG WR UHSODFH D IDFWRU\
ORFNHG SDUW
)DFWRU\ ORFNHG SDUWV SURYLGH VHYHUDO RSWLRQV 7KH 6HF6L 6HFWRU PD\ VWRUH D VH
FXUH UDQGRP E\WH (61 (OHFWURQLF 6HULDO 1XPEHU FXVWRPHU FRGH
SURJUDPPHG WKURXJK 6SDQVLRQ SURJUDPPLQJ VHUYLFHV RU ERWK &XVWRPHU /RFN
DEOH SDUWV PD\ XWLOL]H WKH 6HF6L 6HFWRU DV ERQXV VSDFH UHDGLQJ DQG ZULWLQJ OLNH
DQ\ RWKHU IODVK VHFWRU RU PD\ SHUPDQHQWO\ ORFN WKHLU RZQ FRGH WKHUH
'06 'DWD 0DQDJHPHQW 6RIWZDUH DOORZV V\VWHPV WR HDVLO\ WDNH DGYDQWDJH
RI WKH DGYDQFHG DUFKLWHFWXUH RI WKH VLPXOWDQHRXV UHDGZULWH SURGXFW OLQH E\ DO
ORZLQJ UHPRYDO RI ((3520 GHYLFHV '06 ZLOO DOVR DOORZ WKH V\VWHP VRIWZDUH WR
EH VLPSOLILHG DV LW ZLOO SHUIRUP DOO IXQFWLRQV QHFHVVDU\ WR PRGLI\ GDWD LQ ILOH VWUXF
WXUHV DV RSSRVHG WR VLQJOHE\WH PRGLILFDWLRQV 7R ZULWH RU XSGDWH D SDUWLFXODU
SLHFH RI GDWD D SKRQH QXPEHU RU FRQILJXUDWLRQ GDWD IRU H[DPSOH WKH XVHU RQO\
QHHGV WR VWDWH ZKLFK SLHFH RI GDWD LV WR EH XSGDWHG DQG ZKHUH WKH XSGDWHG GDWD
LV ORFDWHG LQ WKH V\VWHP 7KLV LV DQ DGYDQWDJH FRPSDUHG WR V\VWHPV ZKHUH XVHU
ZULWWHQ VRIWZDUH PXVW NHHS WUDFN RI WKH ROG GDWD ORFDWLRQ VWDWXV ORJLFDO WR SK\V
LFDO WUDQVODWLRQ RI WKH GDWD RQWR WKH )ODVK PHPRU\ GHYLFH RU PHPRU\ GHYLFHV
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
DQG PRUH 8VLQJ '06 XVHUZULWWHQ VRIWZDUH GRHV QRW QHHG WR LQWHUIDFH ZLWK WKH
)ODVK PHPRU\ GLUHFWO\ ,QVWHDG WKH XVHU V VRIWZDUH DFFHVVHV WKH )ODVK PHPRU\
E\ FDOOLQJ RQH RI RQO\ VL[ IXQFWLRQV
7KH GHYLFH RIIHUV FRPSOHWH FRPSDWLELOLW\ ZLWK WKH -('(& VLQ
JOHSRZHUVXSSO\ )ODVK FRPPDQG VHW VWDQGDUG
&RPPDQGV DUH ZULWWHQ WR
WKH FRPPDQG UHJLVWHU XVLQJ VWDQGDUG PLFURSURFHVVRU ZULWH WLPLQJV 5HDGLQJ GDWD
RXW RI WKH GHYLFH LV VLPLODU WR UHDGLQJ IURP RWKHU )ODVK RU (3520 GHYLFHV
7KH KRVW V\VWHP FDQ GHWHFW ZKHWKHU D SURJUDP RU HUDVH RSHUDWLRQ LV FRPSOHWH E\
XVLQJ WKH GHYLFH VWDWXV ELWV 5<%< SLQ '4 'DWD 3ROOLQJ DQG '4'4
WRJJOH ELWV $IWHU D SURJUDP RU HUDVH F\FOH KDV EHHQ FRPSOHWHG WKH GHYLFH DX
WRPDWLFDOO\ UHWXUQV WR WKH UHDG PRGH
7KH VHFWRU HUDVH DUFKLWHFWXUH DOORZV PHPRU\ VHFWRUV WR EH HUDVHG DQG UHSUR
JUDPPHG ZLWKRXW DIIHFWLQJ WKH GDWD FRQWHQWV RI RWKHU VHFWRUV 7KH GHYLFH LV IXOO\
HUDVHG ZKHQ VKLSSHG IURP WKH IDFWRU\
+DUGZDUH GDWD SURWHFWLRQ PHDVXUHV LQFOXGH D ORZ 9
&&
GHWHFWRU WKDW DXWRPDW
LFDOO\ LQKLELWV ZULWH RSHUDWLRQV GXULQJ SRZHU WUDQVLWLRQV 7KH KDUGZDUH VHFWRU
SURWHFWLRQ
IHDWXUH GLVDEOHV ERWK SURJUDP DQG HUDVH RSHUDWLRQV LQ DQ\ FRPELQD
WLRQ RI WKH VHFWRUV RI PHPRU\ 7KLV FDQ EH DFKLHYHG LQV\VWHP RU YLD
SURJUDPPLQJ HTXLSPHQW
7KH GHYLFH RIIHUV WZR SRZHUVDYLQJ IHDWXUHV :KHQ DGGUHVVHV KDYH EHHQ VWDEOH
IRU D VSHFLILHG DPRXQW RI WLPH WKH GHYLFH HQWHUV WKH DXWRPDWLF VOHHS PRGH
7KH V\VWHP FDQ DOVR SODFH WKH GHYLFH LQWR WKH VWDQGE\ PRGH 3RZHU FRQVXPS
WLRQ LV JUHDWO\ UHGXFHG LQ ERWK PRGHV
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
7DEOH 2I &RQWHQWV
3URGXFW 6HOHFWRU *XLGH
%ORFN 'LDJUDP
&RQQHFWLRQ 'LDJUDPV
3LQ 'HVFULSWLRQ
/RJLF 6\PERO
2UGHULQJ ,QIRUPDWLRQ
'HYLFH %XV 2SHUDWLRQV
Uhiyr T!(EG"!C9rvpr7Prhv
5HTXLUHPHQWV IRU 5HDGLQJ $UUD\ 'DWD
:ULWLQJ &RPPDQGV&RPPDQG 6HTXHQFHV
$FFHOHUDWHG 3URJUDP 2SHUDWLRQ
$XWRVHOHFW )XQFWLRQV
6LPXOWDQHRXV 5HDG:ULWH 2SHUDWLRQV ZLWK =HUR /DWHQF\
$XWRPDWLF 6OHHS 0RGH
5(6(7 +DUGZDUH 5HVHW 3LQ
2XWSXW 'LVDEOH 0RGH
Uhiyr!T!(EG"!C7hx6puvrpr #
Uhiyr"T!(EG"!CTrp6qqrrU79rvpr $
Uhiyr#T!(EG"!CTrp6qqrr779rvpr &
Uhiyr$T!(EG"!C6ryrp8qrCvtuWyhtrHruq (
6HFWRU6HFWRU %ORFN 3URWHFWLRQ DQG 8QSURWHFWLRQ
Uhiyr%T!(EG"!C7TrpTrp7ypx6qqrrsQ
rpvVrpvU79rvpr !
Uhiyr&T!(EG"!CTrpTrp7ypx6qqrrsQrpv
Vrpv779rvpr!
Uhiyr'XQ688Hqr !!
7HPSRUDU\ 6HFWRU 8QSURWHFW
Avtr UrhTrpVrpPrhv!"
Avtr!DTrTrpQrpVrp6ytvu !#
6HF6L 6HFXUHG 6LOLFRQ 6HFWRU
)ODVK 0HPRU\ 5HJLRQ
+DUGZDUH 'DWD 3URWHFWLRQ
/RZ 9&& :ULWH ,QKLELW
:ULWH 3XOVH *OLWFK 3URWHFWLRQ
/RJLFDO ,QKLELW
3RZHU8S :ULWH ,QKLELW
&RPPRQ )ODVK 0HPRU\ ,QWHUIDFH &),
Uhiyr(8ADRrDqrvsvphvTvt !&
Uhiyr TrDrshprTvt!&
Uhiyr 9rvprBrr9rsvvv !'
Uhiyr !QvhWrqTrpvsvp@rqrqRr!'
&RPPDQG 'HILQLWLRQV
5HDGLQJ $UUD\ 'DWD
5HVHW &RPPDQG
$XWRVHOHFW &RPPDQG 6HTXHQFH
(QWHU 6HF6L 6HFWRU([LW 6HF6L 6HFWRU
&RPPDQG 6HTXHQFH
%\WH:RUG 3URJUDP &RPPDQG 6HTXHQFH
8QORFN %\SDVV &RPPDQG 6HTXHQFH
Avtr"QthPrhv ""
&KLS (UDVH &RPPDQG 6HTXHQFH
6HFWRU (UDVH &RPPDQG 6HTXHQFH
Avtr#@hrPrhv "$
(UDVH 6XVSHQG(UDVH 5HVXPH &RPPDQGV
Uhiyr "T!(EG"!C8hq9rsvvv "&
:ULWH 2SHUDWLRQ 6WDWXV
'4 'DWD 3ROOLQJ
Avtr$9hhQyyvt6ytvu "(
'4 7RJJOH %LW ,
Avtr%Uttyr7v6ytvu #
'4 7RJJOH %LW ,,
5HDGLQJ 7RJJOH %LWV '4'4
'4 ([FHHGHG 7LPLQJ /LPLWV
'4 6HFWRU (UDVH 7LPHU
Uhiyr #XvrPrhvTh #"
$EVROXWH 0D[LPXP 5DWLQJV
Avtr&HhvIrthvrPruXhrs##
Avtr'HhvQvvrPruXhrs ##
2SHUDWLQJ 5DQJHV
,QGXVWULDO , 'HYLFHV
9
&&
6XSSO\ 9ROWDJHV
'& &KDUDFWHULVWLFV
&026 &RPSDWLEOH
Avtr(D
&&
8rUvrTuvt6pvrhq
6hvpTyrr8r #%
Avtr UvphyD
&&
Arrp #%
7HVW &RQGLWLRQV
Avtr UrTr #&
.H\ 7R 6ZLWFKLQJ :DYHIRUPV
Avtr !DXhrshqHrhrrGrry#&
$& &KDUDFWHULVWLFV
5HDG2QO\ 2SHUDWLRQV
Avtr "SrhqPrhvUvvt#'
+DUGZDUH 5HVHW 5(6(7
Avtr #SrrUvvt #(
:RUG%\WH &RQILJXUDWLRQ %<7(
Avtr $7`U@UvvtsSrhqPrhv $
Avtr %7`U@UvvtsXvrPrhv$
(UDVH DQG 3URJUDP 2SHUDWLRQV
Avtr &QthPrhvUvvt$"
Avtr '6ppryrhrqQthUvvt9vhth $"
Avtr (8uvTrp@hrPrhvUvvt$#
Avtr!7hpxihpxSrhqXvr8pyrUvvt $$
Avtr! 9hhQyyvtUvvt9vt@irqqrq6ytvu
$$
Avtr!!Uttyr7vUvvt9vt@irqqrq6ytvu $%
Avtr!"9R!9R%$%
7HPSRUDU\ 6HFWRU 8QSURWHFW
Avtr!#UrhTrpVrpUvvt9vhth$&
Avtr!$TrpTrp7ypxQrphq
VrpUvvt9vhth $'
$OWHUQDWH &( &RQWUROOHG (UDVH DQG 3URJUDP 2SHUDWLRQV
Avtr!%6yrhr8@8yyrqXvr@hrQth
PrhvUvvt%
(UDVH $QG 3URJUDPPLQJ 3HUIRUPDQFH
7623 3LQ &DSDFLWDQFH
3K\VLFDO 'LPHQVLRQV
76 3LQ 6WDQGDUG 7623
5HYLVLRQ 6XPPDU\
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
3URGXFW 6HOHFWRU *XLGH
%ORFN 'LDJUDP
%DQN 'HYLFH
3DUW 1XPEHU
6-/+
6SHHG 2SWLRQ
6WDQGDUG 9ROWDJH 5DQJH 9
&&
9
0D[ $FFHVV 7LPH QV W
$&&
&( $FFHVV QV W
&(
2( $FFHVV QV W
2(
V
CC
V
SS
Bank 1 Address
Bank 2 Address
A20A0
RESET#
WE#
CE#
BYTE#
DQ0DQ15
WP#/ACC
STATE
CONTROL
&
COMMAND
REGISTER
RY/BY#
Bank 1
X-Decoder
OE#
BYTE#
DQ15DQ0
Status
Control
A20A0
A20A0
A20A0
A20A0
DQ15DQ0
DQ15DQ0
DQ15DQ0
DQ15DQ0
Mux
Mux
Mux
Bank 2
X-Decoder
Y-gate
Bank 3
X-Decoder
Bank 4
X-Decoder
Y-gate
Bank 3 Address
Bank 4 Address
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
%ORFN 'LDJUDP
%DQN 'HYLFH
V
CC
V
SS
Upper Bank Address
A20A0
RESET#
WE#
CE#
BYTE#
DQ15DQ0
WP#/ACC
STATE
CONTROL
&
COMMAND
REGISTER
RY/BY#
Upper Bank
X-Decoder
Y-Decoder
Latches and Control Logic
OE#
BYTE#
DQ15DQ0
Lower Bank
Y-Decoder
X-Decoder
Latches and
Control Logic
Lower Bank Address
OE#
BYTE#
Status
Control
A20A0
A20A0
A20A0
A20A0
DQ15DQ0
DQ15DQ0
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
&RQQHFWLRQ 'LDJUDPV
1
16
2
3
4
5
6
7
8
17
18
19
20
21
22
23
24
9
10
11
12
13
14
15
48
33
47
46
45
44
43
42
41
40
39
38
37
36
35
34
25
32
31
30
29
28
27
26
A15
A18
A14
A13
A12
A11
A10
A9
A8
A19
A20
WE#
RESET#
NC
WP#/ACC
RY/BY#
A1
A17
A7
A6
A5
A4
A3
A2
A16
DQ2
BYTE#
V
SS
DQ15/A-1
DQ7
DQ14
DQ6
DQ13
DQ9
DQ1
DQ8
DQ0
OE#
V
SS
CE#
A0
DQ5
DQ12
DQ4
V
CC
DQ11
DQ3
DQ10
3LQ 6WDQGDUG 7623
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
3LQ 'HVFULSWLRQ
$$
$GGUHVVHV
'4'4
'DWD ,QSXWV2XWSXWV [RQO\ GHYLFHV
'4$
'4 'DWD ,QSXW2XWSXW ZRUG PRGH $ /6%
$GGUHVV ,QSXW E\WH PRGH
&(
&KLS (QDEOH
2(
2XWSXW (QDEOH
:(
:ULWH (QDEOH
:3 $&&
+DUGZDUH :ULWH 3URWHFW
$FFHOHUDWLRQ 3LQ
5(6(7
+DUGZDUH 5HVHW 3LQ $FWLYH /RZ
%<7(
6HOHFWV ELW RU ELW PRGH
5<%<
5HDG\%XV\ 2XWSXW
9
&&
YROWRQO\ VLQJOH SRZHU VXSSO\
VHH 3URGXFW 6HOHFWRU *XLGH IRU VSHHG
RSWLRQV DQG YROWDJH VXSSO\ WROHUDQFHV
9
66
'HYLFH *URXQG
1&
3LQ 1RW &RQQHFWHG ,QWHUQDOO\
/RJLF 6\PERO
RU
'4'4
$
$$
&(
2(
:(
5(6(7
%<7(
5<%<
:3 $&&
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
2UGHULQJ ,QIRUPDWLRQ
7KH RUGHU QXPEHU 9DOLG &RPELQDWLRQ LV IRUPHG E\ WKH IROORZLQJ
1RWH
UrvhqhqTrpvsurhrvrq0UTPQphirhpxrqvUrhq"07B6phirhpxrqvUr!"
! 8yyphyThvrrrhvrshhvyhivyvsur$$hq%rrqv
9DOLG &RPELQDWLRQV
9DOLG &RPELQDWLRQV OLVW FRQILJXUDWLRQV SODQQHG WR EH VXSSRUWHG LQ YROXPH IRU WKLV GH
YLFH &RQVXOW \RXU ORFDO 6SDQVLRQ VDOHV RIILFH WR FRQILUP DYDLODELOLW\ RI VSHFLILF YDOLG
FRPELQDWLRQV DQG WR FKHFN RQ QHZO\ UHOHDVHG FRPELQDWLRQV
T!(EG"!C
$$
U
6
D
Q68FDIBU`Q@
2 Uh
!
2 &vpuUhrhqSrry
"
2 "vpuUhrhqSrry
HP9@GIVH7@S
2 U79rvpr#7hx)# ! !#Hi
!
2 779rvpr#7hx)# ! !#Hi
!
2 U79rvpr!7hx)#!'Hi
!!
2 779rvpr!7hx)#!'Hi
"
2 U79rvpr!7hx)'!#Hi
"!
2 779rvpr!7hx)'!#Hi
#
2 U79rvpr!7hx) % %Hi
#!
2 779rvpr!7hx) % %Hi
U@HQ@S6UVS@S6IB@
D
2 Dqvhyñ#8'$8
Q68F6B@H6U@SD6GT@U
6
2 Thqhq
A
2 Qisrr
Q68F6B@U`Q@
U
2 UuvThyyPyvrQhpxhtrUTPQThqhqQv
TQ@@9PQUDPI
$$
2 $$
%
2 %
&
2 &
(
2 (
9@WD8@A6HDG`
T!(EG"!C
"Wyy"!Hrthiv!H %7v#H'7vTvyhrSrhqXvrAyhuHr
Hhshprq "prrpuyt
T!(EG"!CWhyvq8ivhv
9rvprAhvy
TrrqPv
QhpxhtrHhrvhyTrhq
UrrhrShtr
HqryIir
QhpxvtUr
QhpxhtrUr
T!(EG"!C
$$
%
&
(
Ir!
U6D
UAD
!
"
Ir
UT#'
UTPQ
!
!
!!
"
"!
#
#!
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
'HYLFH %XV 2SHUDWLRQV
7KLV VHFWLRQ GHVFULEHV WKH UHTXLUHPHQWV DQG XVH RI WKH GHYLFH EXV RSHUDWLRQV
ZKLFK DUH LQLWLDWHG WKURXJK WKH LQWHUQDO FRPPDQG UHJLVWHU 7KH FRPPDQG UHJLVWHU
LWVHOI GRHV QRW RFFXS\ DQ\ DGGUHVVDEOH PHPRU\ ORFDWLRQ 7KH UHJLVWHU LV D ODWFK
XVHG WR VWRUH WKH FRPPDQGV DORQJ ZLWK WKH DGGUHVV DQG GDWD LQIRUPDWLRQ
QHHGHG WR H[HFXWH WKH FRPPDQG 7KH FRQWHQWV RI WKH UHJLVWHU VHUYH DV LQSXWV WR
WKH LQWHUQDO VWDWH PDFKLQH 7KH VWDWH PDFKLQH RXWSXWV GLFWDWH WKH IXQFWLRQ RI WKH
GHYLFH 7DEOH
OLVWV WKH GHYLFH EXV RSHUDWLRQV WKH LQSXWV DQG FRQWURO OHYHOV WKH\
UHTXLUH DQG WKH UHVXOWLQJ RXWSXW 7KH IROORZLQJ VXEVHFWLRQV GHVFULEH HDFK RI
WKHVH RSHUDWLRQV LQ IXUWKHU GHWDLO
7DEOH 6-/+ 'HYLFH %XV 2SHUDWLRQV
/HJHQGG2GtvpG2W
,/
C2GtvpCvtu2W
,+
W
,'
2 $ !$WW
++
2($WY298hrT62Trp
6qqr6
,1
26qqrD9
,1
29hhD9
287
29hhP
1RWHV
6qqrrhr6!)6vqqr7`U@2W
,+
6!)6 virqr7`U@2W
,/
7KH VHFWRU SURWHFW DQG VHFWRU XQSURWHFW IXQFWLRQV PD\ DOVR EH LPSOHPHQWHG YLD SURJUDPPLQJ HTXLSPHQW 6HH
WKH 6HFWRU6HFWRU %ORFN 3URWHFWLRQ DQG 8QSURWHFWLRQ VHFWLRQ
" DsXQ6882W
,/
urrirprhvrprqDsXQ6882W
,+
rpvur
rirpqrrqurururrryhrprqrprqvturruqqrpvirqv
TrpTrp7ypxQrpvhqVrpvDsXQ6882W
++
hyyrpvyyirrprq
Prhv
8@ P@ X@ S@T@U XQ688
6qqrr
Ir
9R $9R'
9R&9R
7`U@2
W
,+
7`U@2W
,/
5HDG
/
/
+
+
/+
$
,1
9
287
9R #9R'2Cvtu
a9R $26
9
287
Xvr
G
C
G
C
Ir"
6
,1
9
,1
9
,1
Thqi
W
&&
"W
Y
Y
W
&&
"W
GC
Y
Cvtua
Cvtua
Cvtua
P9vhiyr
G
C
C
C
GC
Y
Cvtua
Cvtua
Cvtua
Srr
Y
Y
Y
G
GC
Y
Cvtua
Cvtua
Cvtua
TrpQrp
Ir!
G
C
G
W
,'
GC
T66%2G
6 2C62G
Y
Y
9
,1
TrpVrp
Ir!
G
C
G
W
,'
Ir"
T66%2C
6 2C62G
Y
Y
9
,1
Urh
TrpVrp
Y
Y
Y
W
,'
Ir"
6
,1
9
,1
Cvtua
9
,1
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
:RUG%\WH &RQILJXUDWLRQ
7KH %<7( SLQ FRQWUROV ZKHWKHU WKH GHYLFH GDWD ,2 SLQV RSHUDWH LQ WKH E\WH RU
ZRUG FRQILJXUDWLRQ ,I WKH %<7( SLQ LV VHW DW ORJLF WKH GHYLFH LV LQ ZRUG FRQ
ILJXUDWLRQ '4'4 DUH DFWLYH DQG FRQWUROOHG E\ &( DQG 2(
,I WKH %<7( SLQ LV VHW DW ORJLF WKH GHYLFH LV LQ E\WH FRQILJXUDWLRQ DQG RQO\
GDWD ,2 SLQV '4'4 DUH DFWLYH DQG FRQWUROOHG E\ &( DQG 2( 7KH GDWD ,
2 SLQV '4'4 DUH WULVWDWHG DQG WKH '4 SLQ LV XVHG DV DQ LQSXW IRU WKH
/6% $ DGGUHVV IXQFWLRQ
5HTXLUHPHQWV IRU 5HDGLQJ $UUD\ 'DWD
7R UHDG DUUD\ GDWD IURP WKH RXWSXWV WKH V\VWHP PXVW GULYH WKH &( DQG 2(
SLQV WR 9
,/
&( LV WKH SRZHU FRQWURO DQG VHOHFWV WKH GHYLFH 2( LV WKH RXWSXW
FRQWURO DQG JDWHV DUUD\ GDWD WR WKH RXWSXW SLQV :( VKRXOG UHPDLQ DW 9
,+
7KH
%<7( SLQ GHWHUPLQHV ZKHWKHU WKH GHYLFH RXWSXWV DUUD\ GDWD LQ ZRUGV RU E\WHV
7KH LQWHUQDO VWDWH PDFKLQH LV VHW IRU UHDGLQJ DUUD\ GDWD XSRQ GHYLFH SRZHUXS
RU DIWHU D KDUGZDUH UHVHW 7KLV HQVXUHV WKDW QR VSXULRXV DOWHUDWLRQ RI WKH PHPRU\
FRQWHQW RFFXUV GXULQJ WKH SRZHU WUDQVLWLRQ 1R FRPPDQG LV QHFHVVDU\ LQ WKLV
PRGH WR REWDLQ DUUD\ GDWD 6WDQGDUG PLFURSURFHVVRU UHDG F\FOHV WKDW DVVHUW YDOLG
DGGUHVVHV RQ WKH GHYLFH DGGUHVV LQSXWV SURGXFH YDOLG GDWD RQ WKH GHYLFH GDWD
RXWSXWV (DFK EDQN UHPDLQV HQDEOHG IRU UHDG DFFHVV XQWLO WKH FRPPDQG UHJLVWHU
FRQWHQWV DUH DOWHUHG
5HIHU WR WKH $& 5HDG2QO\ 2SHUDWLRQV WDEOH IRU WLPLQJ VSHFLILFDWLRQV DQG WR )LJXUH
IRU WKH WLPLQJ GLDJUDP ,
&&
LQ WKH '& &KDUDFWHULVWLFV WDEOH UHSUHVHQWV WKH DF
WLYH FXUUHQW VSHFLILFDWLRQ IRU UHDGLQJ DUUD\ GDWD
:ULWLQJ &RPPDQGV&RPPDQG 6HTXHQFHV
7R ZULWH D FRPPDQG RU FRPPDQG VHTXHQFH ZKLFK LQFOXGHV SURJUDPPLQJ GDWD
WR WKH GHYLFH DQG HUDVLQJ VHFWRUV RI PHPRU\ WKH V\VWHP PXVW GULYH :( DQG
&( WR 9
,/
DQG 2( WR 9
,+
)RU SURJUDP RSHUDWLRQV WKH %<7( SLQ GHWHUPLQHV ZKHWKHU WKH GHYLFH DFFHSWV
SURJUDP GDWD LQ E\WHV RU ZRUGV 5HIHU WR :RUG%\WH &RQILJXUDWLRQ IRU PRUH
LQIRUPDWLRQ
7KH GHYLFH IHDWXUHV DQ 8QORFN %\SDVV PRGH WR IDFLOLWDWH IDVWHU SURJUDPPLQJ
2QFH D EDQN HQWHUV WKH 8QORFN %\SDVV PRGH RQO\ WZR ZULWH F\FOHV DUH UHTXLUHG
WR SURJUDP D ZRUG RU E\WH LQVWHDG RI IRXU 7KH %\WH:RUG 3URJUDP &RPPDQG
6HTXHQFH VHFWLRQ KDV GHWDLOV RQ SURJUDPPLQJ GDWD WR WKH GHYLFH XVLQJ ERWK
VWDQGDUG DQG 8QORFN %\SDVV FRPPDQG VHTXHQFHV
$Q HUDVH RSHUDWLRQ FDQ HUDVH RQH VHFWRU PXOWLSOH VHFWRUV RU WKH HQWLUH GHYLFH
7DEOHV
DQG
LQGLFDWH WKH DGGUHVV VSDFH WKDW HDFK VHFWRU RFFXSLHV 6LPLODUO\ D
VHFWRU DGGUHVV LV WKH DGGUHVV ELWV UHTXLUHG WR XQLTXHO\ VHOHFW D VHFWRU 7KH
&RPPDQG 'HILQLWLRQV VHFWLRQ KDV GHWDLOV RQ HUDVLQJ D VHFWRU RU WKH HQWLUH FKLS
RU VXVSHQGLQJUHVXPLQJ WKH HUDVH RSHUDWLRQ
7KH GHYLFH DGGUHVV VSDFH LV GLYLGHG LQWR IRXU EDQNV $ EDQN DGGUHVV LV WKH DG
GUHVV ELWV UHTXLUHG WR XQLTXHO\ VHOHFW D EDQN
,
&&
LQ WKH '& &KDUDFWHULVWLFV WDEOH UHSUHVHQWV WKH DFWLYH FXUUHQW VSHFLILFDWLRQ IRU
WKH ZULWH PRGH 7KH $& &KDUDFWHULVWLFV VHFWLRQ FRQWDLQV WLPLQJ VSHFLILFDWLRQ WD
EOHV DQG WLPLQJ GLDJUDPV IRU ZULWH RSHUDWLRQV
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$FFHOHUDWHG 3URJUDP 2SHUDWLRQ
7KH GHYLFH RIIHUV DFFHOHUDWHG SURJUDP RSHUDWLRQV WKURXJK WKH $&& IXQFWLRQ 7KLV
LV RQH RI WZR IXQFWLRQV SURYLGHG E\ WKH :3 $&& SLQ 7KLV IXQFWLRQ LV SULPDULO\
LQWHQGHG WR DOORZ IDVWHU PDQXIDFWXULQJ WKURXJKSXW DW WKH IDFWRU\
,I WKH V\VWHP DVVHUWV 9
++
RQ WKLV SLQ WKH GHYLFH DXWRPDWLFDOO\ HQWHUV WKH DIRUH
PHQWLRQHG 8QORFN %\SDVV PRGH WHPSRUDULO\ XQSURWHFWV DQ\ SURWHFWHG VHFWRUV
DQG XVHV WKH KLJKHU YROWDJH RQ WKH SLQ WR UHGXFH WKH WLPH UHTXLUHG IRU SURJUDP
RSHUDWLRQV 7KH V\VWHP ZRXOG XVH D WZRF\FOH SURJUDP FRPPDQG VHTXHQFH DV
UHTXLUHG E\ WKH 8QORFN %\SDVV PRGH 5HPRYLQJ 9
++
IURP WKH :3 $&& SLQ UH
WXUQV WKH GHYLFH WR QRUPDO RSHUDWLRQ 1RWH WKDW 9
++
PXVW QRW EH DVVHUWHG RQ
:3 $&& IRU RSHUDWLRQV RWKHU WKDQ DFFHOHUDWHG SURJUDPPLQJ RU GHYLFH GDPDJH
PD\ UHVXOW ,Q DGGLWLRQ WKH :3 $&& SLQ PXVW QRW EH OHIW IORDWLQJ RU XQFRQ
QHFWHG LQFRQVLVWHQW EHKDYLRU RI WKH GHYLFH PD\ UHVXOW
6HH :ULWH 3URWHFW
:3 IRU UHODWHG LQIRUPDWLRQ
$XWRVHOHFW )XQFWLRQV
,I WKH V\VWHP ZULWHV WKH DXWRVHOHFW FRPPDQG VHTXHQFH WKH GHYLFH HQWHUV WKH DX
WRVHOHFW PRGH 7KH V\VWHP FDQ WKHQ UHDG DXWRVHOHFW FRGHV IURP WKH LQWHUQDO
UHJLVWHU ZKLFK LV VHSDUDWH IURP WKH PHPRU\ DUUD\ RQ '4'4 6WDQGDUG
UHDG F\FOH WLPLQJV DSSO\ LQ WKLV PRGH 5HIHU WR WKH $XWRVHOHFW 0RGH DQG $XWRVH
OHFW &RPPDQG 6HTXHQFH VHFWLRQV IRU PRUH LQIRUPDWLRQ
6LPXOWDQHRXV 5HDG:ULWH 2SHUDWLRQV ZLWK =HUR /DWHQF\
7KLV GHYLFH LV FDSDEOH RI UHDGLQJ GDWD IURP RQH EDQN RI PHPRU\ ZKLOH SURJUDP
PLQJ RU HUDVLQJ LQ WKH RWKHU EDQN RI PHPRU\ $Q HUDVH RSHUDWLRQ PD\ DOVR EH
VXVSHQGHG WR UHDG IURP RU SURJUDP WR DQRWKHU ORFDWLRQ ZLWKLQ WKH VDPH EDQN H[
FHSW WKH VHFWRU EHLQJ HUDVHG
)LJXUH
VKRZV KRZ UHDG DQG ZULWH F\FOHV PD\ EH
LQLWLDWHG IRU VLPXOWDQHRXV RSHUDWLRQ ZLWK ]HUR ODWHQF\ ,
&&
DQG ,
&&
LQ WKH '&
&KDUDFWHULVWLFV WDEOH UHSUHVHQW WKH FXUUHQW VSHFLILFDWLRQV IRU UHDGZKLOHSURJUDP
DQG UHDGZKLOHHUDVH UHVSHFWLYHO\
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
6WDQGE\ 0RGH
:KHQ WKH V\VWHP LV QRW UHDGLQJ RU ZULWLQJ WR WKH GHYLFH LW FDQ SODFH WKH GHYLFH
LQ WKH VWDQGE\ PRGH ,Q WKLV PRGH FXUUHQW FRQVXPSWLRQ LV JUHDWO\ UHGXFHG DQG
WKH RXWSXWV DUH SODFHG LQ WKH KLJK LPSHGDQFH VWDWH LQGHSHQGHQW RI WKH 2(
LQSXW
7KH GHYLFH HQWHUV WKH &026 VWDQGE\ PRGH ZKHQ WKH &( DQG 5(6(7 SLQV DUH
ERWK KHOG DW 9
&&
9 1RWH WKDW WKLV LV D PRUH UHVWULFWHG YROWDJH UDQJH WKDQ
9
,+
,I &( DQG 5(6(7 DUH KHOG DW 9
,+
EXW QRW ZLWKLQ 9
&&
9 WKH GHYLFH
ZLOO EH LQ WKH VWDQGE\ PRGH EXW WKH VWDQGE\ FXUUHQW ZLOO EH JUHDWHU 7KH GHYLFH
UHTXLUHV VWDQGDUG DFFHVV WLPH W
&(
IRU UHDG DFFHVV ZKHQ WKH GHYLFH LV LQ HLWKHU
RI WKHVH VWDQGE\ PRGHV EHIRUH LW LV UHDG\ WR UHDG GDWD
,I WKH GHYLFH LV GHVHOHFWHG GXULQJ HUDVXUH RU SURJUDPPLQJ WKH GHYLFH GUDZV DF
WLYH FXUUHQW XQWLO WKH RSHUDWLRQ LV FRPSOHWHG
,
&&
LQ WKH '& &KDUDFWHULVWLFV WDEOH UHSUHVHQWV WKH VWDQGE\ FXUUHQW VSHFLILFDWLRQ
$XWRPDWLF 6OHHS 0RGH
7KH DXWRPDWLF VOHHS PRGH PLQLPL]HV )ODVK GHYLFH HQHUJ\ FRQVXPSWLRQ 7KH GH
YLFH DXWRPDWLFDOO\ HQDEOHV WKLV PRGH ZKHQ DGGUHVVHV UHPDLQ VWDEOH IRU W
$&&
QV 7KH DXWRPDWLF VOHHS PRGH LV LQGHSHQGHQW RI WKH &( :( DQG 2( FRQ
WURO VLJQDOV 6WDQGDUG DGGUHVV DFFHVV WLPLQJV SURYLGH QHZ GDWD ZKHQ DGGUHVVHV
DUH FKDQJHG :KLOH LQ VOHHS PRGH RXWSXW GDWD LV ODWFKHG DQG DOZD\V DYDLODEOH WR
WKH V\VWHP ,
&&
LQ WKH '& &KDUDFWHULVWLFV WDEOH UHSUHVHQWV WKH DXWRPDWLF VOHHS
PRGH FXUUHQW VSHFLILFDWLRQ
5(6(7 +DUGZDUH 5HVHW 3LQ
7KH 5(6(7 SLQ SURYLGHV D KDUGZDUH PHWKRG RI UHVHWWLQJ WKH GHYLFH WR UHDGLQJ
DUUD\ GDWD :KHQ WKH 5(6(7 SLQ LV GULYHQ ORZ IRU DW OHDVW D SHULRG RI W
53
WKH
GHYLFH LPPHGLDWHO\ WHUPLQDWHV DQ\ RSHUDWLRQ LQ SURJUHVV WULVWDWHV DOO RXWSXW
SLQV DQG LJQRUHV DOO UHDGZULWH FRPPDQGV IRU WKH GXUDWLRQ RI WKH 5(6(7 SXOVH
7KH GHYLFH DOVR UHVHWV WKH LQWHUQDO VWDWH PDFKLQH WR UHDGLQJ DUUD\ GDWD 7KH RS
HUDWLRQ WKDW ZDV LQWHUUXSWHG VKRXOG EH UHLQLWLDWHG RQFH WKH GHYLFH LV UHDG\ WR
DFFHSW DQRWKHU FRPPDQG VHTXHQFH WR HQVXUH GDWD LQWHJULW\
&XUUHQW LV UHGXFHG IRU WKH GXUDWLRQ RI WKH 5(6(7 SXOVH :KHQ 5(6(7 LV KHOG
DW 9
66
9 WKH GHYLFH GUDZV &026 VWDQGE\ FXUUHQW ,
&&
,I 5(6(7 LV KHOG
DW 9
,/
EXW QRW ZLWKLQ 9
66
9 WKH VWDQGE\ FXUUHQW ZLOO EH JUHDWHU
7KH 5(6(7 SLQ PD\ EH WLHG WR WKH V\VWHP UHVHW FLUFXLWU\ $ V\VWHP UHVHW ZRXOG
WKXV DOVR UHVHW WKH )ODVK PHPRU\ HQDEOLQJ WKH V\VWHP WR UHDG WKH ERRWXS ILUP
ZDUH IURP WKH )ODVK PHPRU\
,I 5(6(7 LV DVVHUWHG GXULQJ D SURJUDP RU HUDVH RSHUDWLRQ WKH 5<%< SLQ UH
PDLQV D EXV\ XQWLO WKH LQWHUQDO UHVHW RSHUDWLRQ LV FRPSOHWH ZKLFK UHTXLUHV
D WLPH RI W
5($'<
GXULQJ (PEHGGHG $OJRULWKPV 7KH V\VWHP FDQ WKXV PRQLWRU 5<
%< WR GHWHUPLQH ZKHWKHU WKH UHVHW RSHUDWLRQ LV FRPSOHWH ,I 5(6(7 LV DVVHUWHG
ZKHQ D SURJUDP RU HUDVH RSHUDWLRQ LV QRW H[HFXWLQJ 5<%< SLQ LV WKH UHVHW
RSHUDWLRQ LV FRPSOHWHG ZLWKLQ D WLPH RI W
5($'<
QRW GXULQJ (PEHGGHG $OJRULWKPV
7KH V\VWHP FDQ UHDG GDWD W
5+
DIWHU WKH 5(6(7 SLQ UHWXUQV WR 9
,+
5HIHU WR WKH $& &KDUDFWHULVWLFV WDEOHV IRU 5(6(7 SDUDPHWHUV DQG WR )LJXUH
IRU WKH WLPLQJ GLDJUDP
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
2XWSXW 'LVDEOH 0RGH
:KHQ WKH 2( LQSXW LV DW 9
,+
RXWSXW IURP WKH GHYLFH LV GLVDEOHG 7KH RXWSXW SLQV
DUH SODFHG LQ WKH KLJK LPSHGDQFH VWDWH
7DEOH 6-/+ %DQN $UFKLWHFWXUH
'HYLFH
0RGHO
1XPEHUV
%DQN
%DQN
%DQN
%DQN
0HJDELWV
6HFWRU 6L]H
0HJDELWV
6HFWRU 6L]H
0HJDELWV 6HFWRU 6L]H 0HJDELWV
6HFWRU 6L]H
0ELW
(LJKW
.E\WH
.ZRUG
VHYHQ
.E\WH
.ZRUG
0ELW
7ZHQW\IRXU
.E\WH
.ZRUG
0ELW
7ZHQW\IRXU
.E\WH
.ZRUG
0ELW
(LJKW .E\WH
.ZRUG
'HYLFH
0RGHO
1XPEHUV
%DQN
%DQN
0HJDELWV
6HFWRU 6L]HV
0HJDELWV
6HFWRU 6L]HV
0ELW
(LJKW .E\WH .ZRUG
VHYHQ .E\WH .ZRUG
0ELW
)LIW\VL[
.E\WH .ZRUG
0ELW
(LJKW .E\WH .ZRUG
ILIWHHQ .E\WH .ZRUG
0ELW
)RUW\HLJKW
.E\WH .ZRUG
0ELW
(LJKW .E\WH .ZRUG
WKLUW\RQH .E\WH .ZRUG
0ELW
7KLUW\WZR
.E\WH .ZRUG
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
7DEOH 6-/+ 6HFWRU $GGUHVVHV 7RS %RRW 'HYLFHV
T
!
(
E
G
"
!
C


H
q
r
y

#
T
!
(
E
G
"
!
C


H
q
r
y

"
T
!
(
E
G
"
!
C


H
q
r
y

!
T
!
(
E
G
"
!
C


H
q
r
y

Trp
Trp6qqr
6!6 !
TrpTvr
Fir
Fq
'
6qqrShtr
%
6qqrShtr
7
h
x

!
7
h
x

!
7
h
x

!
7
h
x

#
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
7
h
x

"
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
$K$))))K
K)))K
6$
[[[
%K%))))K
K))))K
6$
[[[
&K&))))K
K)))K
6$
[[[
'K'))))K
K))))K
6$
[[[
(K())))K
K)))K
6$
[[[
)K)))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
$K$)))K
6$
[[[
K))))K
$K$))))K
6$
[[[
K))))K
%K%)))K
6$
[[[
K))))K
%K%))))K
6$
[[[
K))))K
&K&)))K
6$
[[[
K))))K
&K&))))K
6$
[[[
$K$))))K
'K')))K
6$
[[[
%K%))))K
'K'))))K
6$
[[[
&K&))))K
(K()))K
6$
[[[
'K'))))K
(K())))K
6$
[[[
(K())))K
)K))))K
6$
[[[
)K)))))K
)K)))))K
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
7
h
x

7
h
x

!


p


q
7
h
x

!


p


q
7
h
x

!
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
$K$))))K
K)))K
6$
[[[
%K%))))K
K))))K
6$
[[[
&K&))))K
K)))K
6$
[[[
'K'))))K
K))))K
6$
[[[
(K())))K
K)))K
6$
[[[
)K)))))K
K))))K
7
h
x

6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
$K$)))K
6$
[[[
K))))K
$K$))))K
6$
[[[
K))))K
%K%))))K
6$
[[[
K))))K
%K%))))K
7
h
x

7
h
x

6$
[[[
K))))K
&K&)))K
6$
[[[
K))))K
&K&))))K
6$
[[[
$K$))))K
'K'))))K
6$
[[[
%K%))))K
'K'))))K
6$
[[[
&K&))))K
(K()))K
6$
[[[
'K'))))K
(K())))K
6$
[[[
(K())))K
)K))))K
6$
)K))))K
)K))))K
6$
)K))))K
)K))))K
6$
)K))))K
)$K)$)))K
6$
)K))))K
)%K)%)))K
6$
)K))))K
)&K)&)))K
6$
)$K)%)))K
)'K)')))K
6$
)&K)')))K
)(K)()))K
6$
)(K)))))K
))K)))))K
7DEOH 6-/+ 6HFWRU $GGUHVVHV 7RS %RRW 'HYLFHV &RQWLQXHG
T
!
(
E
G
"
!
C


H
q
r
y

#
T
!
(
E
G
"
!
C


H
q
r
y

"
T
!
(
E
G
"
!
C


H
q
r
y

!
T
!
(
E
G
"
!
C


H
q
r
y

Trp
Trp6qqr
6!6 !
TrpTvr
Fir
Fq
'
6qqrShtr
%
6qqrShtr
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
7DEOH 6-/+ 6HFWRU $GGUHVVHV %RWWRP %RRW 'HYLFHV
T
!
(
E
G
"
!
C


H
q
r
y

#
!
T
!
(
E
G
"
!
C


H
q
r
y

"
!
T
!
(
E
G
"
!
C


H
q
r
y

!
!
T
!
(
E
G
"
!
C


H
q
r
y

!
Trp
Trp6qqr
6!6 !
TrpTvr
Fir
Fq
'
6qqrShtr
%
6qqrShtr
7
h
x

7
h
x

7
h
x

7
h
x

6$
K)))K
K)))K
6$
K)))K
K)))K
6$
K)))K
K)))K
6$
K)))K
K)))K
6$
K)))K
K)))K
6$
$K%)))K
K)))K
6$
&K')))K
K)))K
6$
(K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
7
h
x

!
7
h
x

!
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
$K$))))K
K)))K
6$
[[[
%K%))))K
K))))K
6$
[[[
&K&))))K
K)))K
6$
[[[
'K'))))K
K))))K
6$
[[[
(K())))K
K)))K
6$
[[[
)K)))))K
K))))K
7
h
x

!
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
$K$)))K
6$
[[[
K))))K
$K$))))K
6$
[[[
K))))K
%K%)))K
6$
[[[
K))))K
%K%))))K
6$
[[[
K))))K
&K&)))K
6$
[[[
K))))K
&K&))))K
6$
[[[
$K$))))K
'K')))K
6$
[[[
%K%))))K
'K'))))K
6$
[[[
&K&))))K
(K()))K
6$
[[[
'K'))))K
(K())))K
6$
[[[
(K())))K
)K))))K
6$
[[[
)K)))))K
)K)))))K
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
7
h
x

!
7
h
x

!


p


q
7
h
x

!


p


q
7
h
x

"
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
$K$))))K
K)))K
6$
[[[
%K%))))K
K))))K
6$
[[[
&K&))))K
K)))K
6$
[[[
'K'))))K
K))))K
6$
[[[
(K())))K
K)))K
6$
[[[
)K)))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
K)))K
6$
[[[
K))))K
K))))K
6$
[[[
K))))K
$K$)))K
6$
[[[
K))))K
$K$))))K
6$
[[[
K))))K
%K%)))K
6$
[[[
K))))K
%K%))))K
7
h
x

#
6$
[[[
K))))K
&K&)))K
6$
[[[
K))))K
&K&))))K
6$
[[[
$K$))))K
'K')))K
6$
[[[
%K%))))K
'K'))))K
6$
[[[
&K&))))K
(K()))K
6$
[[[
'K'))))K
(K())))K
6$
[[[
(K())))K
)K))))K
6$
[[[
)K))))K
)K)))))K
7DEOH 6-/+ 6HFWRU $GGUHVVHV %RWWRP %RRW 'HYLFHV &RQWLQXHG
T
!
(
E
G
"
!
C


H
q
r
y

#
!
T
!
(
E
G
"
!
C


H
q
r
y

"
!
T
!
(
E
G
"
!
C


H
q
r
y

!
!
T
!
(
E
G
"
!
C


H
q
r
y

!
Trp
Trp6qqr
6!6 !
TrpTvr
Fir
Fq
'
6qqrShtr
%
6qqrShtr
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
$XWRVHOHFW 0RGH
7KH DXWRVHOHFW PRGH SURYLGHV PDQXIDFWXUHU DQG GHYLFH LGHQWLILFDWLRQ DQG VHFWRU
SURWHFWLRQ YHULILFDWLRQ WKURXJK LGHQWLILHU FRGHV RXWSXW RQ '4'4 7KLV PRGH
LV SULPDULO\ LQWHQGHG IRU SURJUDPPLQJ HTXLSPHQW WR DXWRPDWLFDOO\ PDWFK D GHYLFH
WR EH SURJUDPPHG ZLWK LWV FRUUHVSRQGLQJ SURJUDPPLQJ DOJRULWKP +RZHYHU WKH
DXWRVHOHFW FRGHV FDQ DOVR EH DFFHVVHG LQV\VWHP WKURXJK WKH FRPPDQG UHJLVWHU
:KHQ XVLQJ SURJUDPPLQJ HTXLSPHQW WKH DXWRVHOHFW PRGH UHTXLUHV
9
,'
RQ DG
GUHVV SLQ $ $GGUHVV SLQV PXVW EH DV VKRZQ LQ 7DEOH
,Q DGGLWLRQ ZKHQ
YHULI\LQJ VHFWRU SURWHFWLRQ WKH VHFWRU DGGUHVV PXVW DSSHDU RQ WKH DSSURSULDWH
KLJKHVW RUGHU DGGUHVV ELWV 7DEOH
VKRZV WKH UHPDLQLQJ DGGUHVV ELWV WKDW DUH
GRQW FDUH :KHQ DOO QHFHVVDU\ ELWV KDYH EHHQ VHW DV UHTXLUHG WKH SURJUDPPLQJ
HTXLSPHQW PD\ WKHQ UHDG WKH FRUUHVSRQGLQJ LGHQWLILHU FRGH RQ '4'4 +RZ
HYHU WKH DXWRVHOHFW FRGHV FDQ DOVR EH DFFHVVHG LQV\VWHP WKURXJK WKH FRPPDQG
UHJLVWHU IRU LQVWDQFHV ZKHQ WKH 6-/+ LV HUDVHG RU SURJUDPPHG LQ D V\VWHP
ZLWKRXW DFFHVV WR KLJK YROWDJH RQ WKH $ SLQ 7KH FRPPDQG VHTXHQFH LV LOOXV
WUDWHG LQ 7DEOH
1RWH WKDW LI D %DQN $GGUHVV %$ RQ DGGUHVV ELWV $ $ DQG
$ LV DVVHUWHG GXULQJ WKH WKLUG ZULWH F\FOH RI WKH DXWRVHOHFW FRPPDQG WKH KRVW
V\VWHP FDQ UHDG DXWRVHOHFW GDWD IURP WKDW EDQN DQG WKHQ LPPHGLDWHO\ UHDG DUUD\
GDWD IURP DQRWKHU EDQN ZLWKRXW H[LWLQJ WKH DXWRVHOHFW PRGH
7R DFFHVV WKH DXWRVHOHFW FRGHV LQV\VWHP WKH KRVW V\VWHP FDQ LVVXH WKH DXWRVH
OHFW FRPPDQG YLD WKH FRPPDQG UHJLVWHU DV VKRZQ LQ 7DEOH
7KLV PHWKRG GRHV
QRW UHTXLUH 9
,'
5HIHU WR WKH $XWRVHOHFW &RPPDQG 6HTXHQFH VHFWLRQ IRU PRUH
LQIRUPDWLRQ
7DEOH 6-/+ $XWRVHOHFW &RGHV +LJK 9ROWDJH 0HWKRG
/HJHQGG2GtvpG2W
,/
C2GtvpCvtu2W
,+
7627hx6qqrT62Trp6qqrY29phr
Description
CE# OE#
WE#
A20
to
A12
A11
to
A10
A9
A8
to
A7
A6
A5
to
A4
A3
A2
A1
A0
DQ15 to DQ8
DQ7
to
DQ0
BYTE#
= V
IH
BYTE#
= V
IL
Manufacturer ID:
Spansion Products
L
L
H
BA
X
V
ID
X
L
X
L
L
L
L
X
X
01h
D
e
vi
ce I
D
(Models 01,

02)
Read Cycle 1
L
L
H
BA
X
V
ID
X
L
X
L
L
L
H
22h
X
7Eh
Read Cycle 2
L
H
H
H
L
22h
0Ah
Read Cycle 3
L
H
H
H
H
22h
00h (bottom boot)
01h (top boot)
Device ID
(Models 21, 22)
L
L
H
BA
X
V
ID
X
L
X
X
X
L
H
22h
X
56h (bottom boot)
55h (top boot)
Device ID
(Models 31, 32)
L
L
H
BA
X
V
ID
X
L
X
X
X
L
H
22h
X
53h (bottom boot)
50h (top boot)
Device ID
(Models 41, 42)
L
L
H
BA
X
V
ID
X
L
X
X
X
L
H
22h
X
5Fh (bottom boot)
5Ch (top boot)
Sector Protection
Verification
L
L
H
SA
X
V
ID
X
L
X
L
L
H
L
X
X
01h (protected),
00h (unprotected)
SecSi Indicator Bit
(DQ6, DQ7)
L
L
H
BA
X
V
ID
X
L
X
L
L
H
H
X
X
82h (factory locked),
42h (customer
locked), 02h (not
factory/customer
locked)
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
6HFWRU6HFWRU %ORFN 3URWHFWLRQ DQG 8QSURWHFWLRQ
1RWH )RU WKH IROORZLQJ GLVFXVVLRQ WKH WHUP VHFWRU DSSOLHV WR ERWK VHFWRUV DQG
VHFWRU EORFNV $ VHFWRU EORFN FRQVLVWV RI WZR RU PRUH DGMDFHQW VHFWRUV WKDW DUH
SURWHFWHG RU XQSURWHFWHG DW WKH VDPH WLPH VHH 7DEOH
7KH KDUGZDUH VHFWRU SURWHFWLRQ IHDWXUH GLVDEOHV ERWK SURJUDP DQG HUDVH RSHUD
WLRQV LQ DQ\ VHFWRU 7KH KDUGZDUH VHFWRU XQSURWHFWLRQ IHDWXUH UHHQDEOHV ERWK
SURJUDP DQG HUDVH RSHUDWLRQV LQ SUHYLRXVO\ SURWHFWHG VHFWRUV 6HFWRU SURWHFWLRQ
XQSURWHFWLRQ FDQ EH LPSOHPHQWHG YLD WZR PHWKRGV
7DEOH 6-/+ %RRW 6HFWRU6HFWRU %ORFN $GGUHVVHV IRU 3URWHFWLRQ8QSURWHFWLRQ 7RS %RRW 'HYLFHV
Sector
A20A12
Sector/
Sector Block Size
SA0
000000XXX
64 Kbytes
SA1-SA3
000001XXX,
000010XXX
000011XXX
192 (3x64) Kbytes
SA4-SA7
0001XXXXX
256 (4x64) Kbytes
SA8-SA11
0010XXXXX
256 (4x64) Kbytes
SA12-SA15
0011XXXXX
256 (4x64) Kbytes
SA16-SA19
0100XXXXX
256 (4x64) Kbytes
SA20-SA23
0101XXXXX
256 (4x64) Kbytes
SA24-SA27
0110XXXXX
256 (4x64) Kbytes
SA28-SA31
0111XXXXX
256 (4x64) Kbytes
SA32-SA35
1000XXXXX
256 (4x64) Kbytes
SA36-SA39
1001XXXXX
256 (4x64) Kbytes
SA40-SA43
1010XXXXX
256 (4x64) Kbytes
SA44-SA47
1011XXXXX
256 (4x64) Kbytes
SA48-SA51
1100XXXXX
256 (4x64) Kbytes
SA52-SA55
1101XXXXX
256 (4x64) Kbytes
SA56-SA59
1110XXXXX
256 (4x64) Kbytes
SA60-SA62
111100XXX,
111101XXX,
111110XXX
192 (3x64) Kbytes
SA63
111111000
8 Kbytes
SA64
111111001
8 Kbytes
SA65
111111010
8 Kbytes
SA66
111111011
8 Kbytes
SA67
111111100
8 Kbytes
SA68
111111101
8 Kbytes
SA69
111111110
8 Kbytes
SA70
111111111
8 Kbytes
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
7DEOH 6-/+ 6HFWRU6HFWRU %ORFN $GGUHVVHV IRU 3URWHFWLRQ8QSURWHFWLRQ %RWWRP %RRW 'HYLFHV
6HFWRU SURWHFW6HFWRU 8QSURWHFW UHTXLUHV 9
,'
RQ WKH 5(6(7 SLQ RQO\ DQG FDQ EH
LPSOHPHQWHG HLWKHU LQV\VWHP RU YLD SURJUDPPLQJ HTXLSPHQW
)LJXUH
VKRZV
WKH DOJRULWKPV DQG
)LJXUH
VKRZV WKH WLPLQJ GLDJUDP )RU VHFWRU XQSURWHFW DOO
XQSURWHFWHG VHFWRUV PXVW ILUVW EH SURWHFWHG SULRU WR WKH ILUVW VHFWRU XQSURWHFW
ZULWH F\FOH 1RWH WKDW WKH VHFWRU XQSURWHFW DOJRULWKP XQSURWHFWV DOO VHFWRUV LQ SDU
DOOHO $OO SUHYLRXVO\ SURWHFWHG VHFWRUV PXVW EH LQGLYLGXDOO\ UHSURWHFWHG 7R
FKDQJH GDWD LQ SURWHFWHG VHFWRUV HIILFLHQWO\ WKH WHPSRUDU\ VHFWRU XQSURWHFW IXQF
WLRQ LV DYDLODEOH 6HH
7HPSRUDU\ 6HFWRU 8QSURWHFW
7KH GHYLFH LV VKLSSHG ZLWK DOO VHFWRUV XQSURWHFWHG 2SWLRQDO 6SDQVLRQ SURJUDP
PLQJ VHUYLFH HQDEOH SURJUDPPLQJ DQG SURWHFWLQJ VHFWRUV DW WKH IDFWRU\ SULRU WR
VKLSSLQJ WKH GHYLFH &RQWDFW \RXU ORFDO VDOHV RIILFH IRU GHWDLOV
,W LV SRVVLEOH WR GHWHUPLQH ZKHWKHU D VHFWRU LV SURWHFWHG RU XQSURWHFWHG 6HH WKH
$XWRVHOHFW 0RGH VHFWLRQ IRU GHWDLOV
Sector
A20A12
Sector/Sector Block
Size
SA70
111111XXX
64 Kbytes
SA69-SA67
111110XXX,
111101XXX,
111100XXX
192 (3x64) Kbytes
SA66-SA63
1110XXXXX
256 (4x64) Kbytes
SA62-SA59
1101XXXXX
256 (4x64) Kbytes
SA58-SA55
1100XXXXX
256 (4x64) Kbytes
SA54-SA51
1011XXXXX
256 (4x64) Kbytes
SA50-SA47
1010XXXXX
256 (4x64) Kbytes
SA46-SA43
1001XXXXX
256 (4x64) Kbytes
SA42-SA39
1000XXXXX
256 (4x64) Kbytes
SA38-SA35
0111XXXXX
256 (4x64) Kbytes
SA34-SA31
0110XXXXX
256 (4x64) Kbytes
SA30-SA27
0101XXXXX
256 (4x64) Kbytes
SA26-SA23
0100XXXXX
256 (4x64) Kbytes
SA22SA19
0011XXXXX
256 (4x64) Kbytes
SA18-SA15
0010XXXXX
256 (4x64) Kbytes
SA14-SA11
0001XXXXX
256 (4x64) Kbytes
SA10-SA8
000011XXX,
000010XXX,
000001XXX
192 (3x64) Kbytes
SA7
000000111
8 Kbytes
SA6
000000110
8 Kbytes
SA5
000000101
8 Kbytes
SA4
000000100
8 Kbytes
SA3
000000011
8 Kbytes
SA2
000000010
8 Kbytes
SA1
000000001
8 Kbytes
SA0
000000000
8 Kbytes
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
:ULWH 3URWHFW :3
7KH :ULWH 3URWHFW IXQFWLRQ SURYLGHV D KDUGZDUH PHWKRG RI SURWHFWLQJ FHUWDLQ ERRW
VHFWRUV ZLWKRXW XVLQJ 9
D9
7KLV IXQFWLRQ LV RQH RI WZR SURYLGHG E\ WKH :3 $&&
SLQ
,I WKH V\VWHP DVVHUWV 9
DG
RQ WKH :3 $&& SLQ WKH GHYLFH GLVDEOHV SURJUDP DQG
HUDVH IXQFWLRQV LQ WKH WZR RXWHUPRVW .E\WH ERRW VHFWRUV LQGHSHQGHQWO\ RI
ZKHWKHU WKRVH VHFWRUV ZHUH SURWHFWHG RU XQSURWHFWHG XVLQJ WKH PHWKRG GHVFULEHG
LQ 6HFWRU6HFWRU %ORFN 3URWHFWLRQ DQG 8QSURWHFWLRQ 7KH WZR RXWHUPRVW .E\WH
ERRW VHFWRUV DUH WKH WZR VHFWRUV FRQWDLQLQJ WKH ORZHVW DGGUHVVHV LQ D ERWWRP
ERRWFRQILJXUHG GHYLFH RU WKH WZR VHFWRUV FRQWDLQLQJ WKH KLJKHVW DGGUHVVHV LQ D
WRSERRWFRQILJXUHG GHYLFH
,I WKH V\VWHP DVVHUWV 9
DC
RQ WKH :3 $&& SLQ WKH GHYLFH UHYHUWV WR ZKHWKHU WKH
WZR RXWHUPRVW . %\WH ERRW VHFWRUV ZHUH ODVW VHW WR EH SURWHFWHG RU XQSURWHFWHG
7KDW LV VHFWRU SURWHFWLRQ RU XQSURWHFWLRQ IRU WKHVH WZR VHFWRUV GHSHQGV RQ
ZKHWKHU WKH\ ZHUH ODVW SURWHFWHG RU XQSURWHFWHG XVLQJ WKH PHWKRG GHVFULEHG LQ
6HFWRU6HFWRU %ORFN 3URWHFWLRQ DQG 8QSURWHFWLRQ
1RWH WKDW WKH :3 $&& SLQ PXVW QRW EH OHIW IORDWLQJ RU XQFRQQHFWHG LQFRQVLVWHQW
EHKDYLRU RI WKH GHYLFH PD\ UHVXOW
7DEOH :3 $&& 0RGHV
7HPSRUDU\ 6HFWRU 8QSURWHFW
1RWH )RU WKH IROORZLQJ GLVFXVVLRQ WKH WHUP VHFWRU DSSOLHV WR ERWK VHFWRUV DQG
VHFWRU EORFNV $ VHFWRU EORFN FRQVLVWV RI WZR RU PRUH DGMDFHQW VHFWRUV WKDW DUH
SURWHFWHG RU XQSURWHFWHG DW WKH VDPH WLPH VHH 7DEOH
DQG 7DEOH
7KLV IHDWXUH DOORZV WHPSRUDU\ XQSURWHFWLRQ RI SUHYLRXVO\ SURWHFWHG VHFWRUV WR
FKDQJH GDWD LQV\VWHP 7KH 7HPSRUDU\ 6HFWRU 8QSURWHFW PRGH LV DFWLYDWHG E\
VHWWLQJ WKH 5(6(7 SLQ WR
9
,'
'XULQJ WKLV PRGH IRUPHUO\ SURWHFWHG VHFWRUV FDQ
EH SURJUDPPHG RU HUDVHG E\ VHOHFWLQJ WKH VHFWRU DGGUHVVHV 2QFH 9
,'
LV UHPRYHG
IURP WKH 5(6(7 SLQ DOO WKH SUHYLRXVO\ SURWHFWHG VHFWRUV DUH SURWHFWHG DJDLQ
)LJXUH VKRZV WKH DOJRULWKP DQG )LJXUH VKRZV WKH WLPLQJ GLDJUDPV IRU WKLV
IHDWXUH ,I WKH :3 $&& SLQ LV DW 9
,/
WKH WZR RXWHUPRVW ERRW VHFWRUV ZLOO UHPDLQ
SURWHFWHG GXULQJ WKH 7HPSRUDU\ VHFWRU 8QSURWHFW PRGH
XQDWyhtr
'HYLFH
0RGH
9
,/
'LVDEOHV SURJUDPPLQJ DQG HUDVLQJ LQ WKH WZR RXWHUPRVW ERRW VHFWRUV
9
,+
(QDEOHV SURJUDPPLQJ DQG HUDVLQJ LQ WKH WZR RXWHUPRVW ERRW VHFWRUV GHSHQGHQW RQ
ZKHWKHU WKH\ ZHUH ODVW SURWHFWHG RU XQSURWHFWHG
9
++
(QDEOHV DFFHOHUDWHG SURJUDPPLQJ $&& 6HH $FFHOHUDWHG 3URJUDP 2SHUDWLRQ
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
)LJXUH 7HPSRUDU\ 6HFWRU 8QSURWHFW 2SHUDWLRQ
67$57
3HUIRUP (UDVH RU
3URJUDP 2SHUDWLRQV
5(6(7 9
,+
7HPSRUDU\ 6HFWRU
8QSURWHFW &RPSOHWHG
1RWH
5(6(7 9
,'
1RWH
1RWHV
6yyrprqrprprqDsXQ6882
W
,/
urrirpvyyrhv
rprq
! 6yyrvyrprqrphrrprqpr
hthv
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
)LJXUH ,Q6\VWHP 6HFWRU 3URWHFW8QSURWHFW $OJRULWKPV
Sector Protect:
Write 60h to sector
address with
A6 = 0, A1 = 1,
A0 = 0
Set up sector
address
Wait 150 s
Verify Sector
Protect: Write 40h
to sector address
with A6 = 0,
A1 = 1, A0 = 0
Read from
sector address
with A6 = 0,
A1 = 1, A0 = 0
START
PLSCNT = 1
RESET# = V
ID
Wait 1 ms
First Write
Cycle = 60h?
Data = 01h?
Remove V
ID
from RESET#
Write reset
command
Sector Protect
complete
Yes
Yes
No
PLSCNT
= 25?
Yes
Device failed
Increment
PLSCNT
Temporary Sector
Unprotect Mode
No
Sector Unprotect:
Write 60h to sector
address with
A6 = 1, A1 = 1,
A0 = 0
Set up first sector
address
Wait 15 ms
Verify Sector
Unprotect: Write
40h to sector
address with
A6 = 1, A1 = 1,
A0 = 0
Read from
sector address
with A6 = 1,
A1 = 1, A0 = 0
START
PLSCNT = 1
RESET# = V
ID
Wait 1 ms
Data = 00h?
Last sector
verified?
Remove V
ID
from RESET#
Write reset
command
Sector Unprotect
complete
Yes
No
PLSCNT
= 1000?
Yes
Device failed
Increment
PLSCNT
Temporary Sector
Unprotect Mode
No
All sectors
protected?
Yes
Protect all sectors:
The indicated portion
of the sector protect
algorithm must be
performed for all
unprotected sectors
prior to issuing the
first sector
unprotect address
Set up
next sector
address
No
Yes
No
Yes
No
No
Yes
No
Sector Protect
Algorithm
Sector Unprotect
Algorithm
First Write
Cycle = 60h?
Protect another
sector?
Reset
PLSCNT = 1
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
6HF6L 6HFXUHG 6LOLFRQ 6HFWRU
)ODVK 0HPRU\ 5HJLRQ
7KH 6HF6L 6HFXUHG 6LOLFRQ 6HFWRU IHDWXUH SURYLGHV D )ODVK PHPRU\ UHJLRQ WKDW
HQDEOHV SHUPDQHQW SDUW LGHQWLILFDWLRQ WKURXJK DQ (OHFWURQLF 6HULDO 1XPEHU
(61 7KH 6HF6L 6HFWRU LV E\WHV LQ OHQJWK DQG XVHV D 6HF6L 6HFWRU ,QGLFDWRU
%LW '4 WR LQGLFDWH ZKHWKHU RU QRW WKH 6HF6L 6HFWRU LV ORFNHG ZKHQ VKLSSHG IURP
WKH IDFWRU\ 7KLV ELW LV SHUPDQHQWO\ VHW DW WKH IDFWRU\ DQG FDQQRW EH FKDQJHG
ZKLFK SUHYHQWV FORQLQJ RI D IDFWRU\ ORFNHG SDUW 7KLV HQVXUHV WKH VHFXULW\ RI WKH
(61 RQFH WKH SURGXFW LV VKLSSHG WR WKH ILHOG
7KH SURGXFW LV DYDLODEOH ZLWK WKH 6HF6L 6HFWRU HLWKHU IDFWRU\ ORFNHG RU FXVWRPHU
ORFNDEOH 7KH IDFWRU\ORFNHG YHUVLRQ LV DOZD\V SURWHFWHG ZKHQ VKLSSHG IURP WKH
IDFWRU\ DQG KDV WKH 6HF6L 6HFWRU ,QGLFDWRU %LW SHUPDQHQWO\ VHW WR D 7KH FXV
WRPHUORFNDEOH YHUVLRQ LV VKLSSHG ZLWK WKH 6HF6L 6HFWRU XQSURWHFWHG DOORZLQJ
FXVWRPHUV WR XWLOL]H WKDW VHFWRU LQ DQ\ PDQQHU WKH\ FKRRVH 7KH FXVWRPHUORFN
DEOH YHUVLRQ KDV WKH 6HF6L 6HFWRU ,QGLFDWRU %LW SHUPDQHQWO\ VHW WR D 7KXV
WKH 6HF6L 6HFWRU ,QGLFDWRU %LW SUHYHQWV FXVWRPHUORFNDEOH GHYLFHV IURP EHLQJ
XVHG WR UHSODFH GHYLFHV WKDW DUH IDFWRU\ ORFNHG 7KH 6HF6L &XVWRPHU ,QGLFDWRU %LW
'4 LV SHUPDQHQWO\ VHW WR LI WKH SDUW KDV EHHQ FXVWRPHU ORFNHG SHUPDQHQWO\
VHW WR LI WKH SDUW KDV EHHQ IDFWRU\ ORFNHG DQG LV LI FXVWRPHU ORFNDEOH
7KH V\VWHP DFFHVVHV WKH 6HF6L 6HFXUH WKURXJK D FRPPDQG VHTXHQFH VHH (QWHU
6HF6L 6HFWRU([LW 6HF6L 6HFWRU &RPPDQG 6HTXHQFH $IWHU WKH V\VWHP KDV
ZULWWHQ WKH (QWHU 6HF6L 6HFWRU FRPPDQG VHTXHQFH LW PD\ UHDG WKH 6HF6L 6HFWRU
E\ XVLQJ WKH DGGUHVVHV QRUPDOO\ RFFXSLHG E\ WKH ERRW VHFWRUV 7KLV PRGH RI RS
HUDWLRQ FRQWLQXHV XQWLO WKH V\VWHP LVVXHV WKH ([LW 6HF6L 6HFWRU FRPPDQG
VHTXHQFH RU XQWLO SRZHU LV UHPRYHG IURP WKH GHYLFH 2Q SRZHUXS RU IROORZLQJ
D KDUGZDUH UHVHW WKH GHYLFH UHYHUWV WR VHQGLQJ FRPPDQGV WR WKH ILUVW E\WHV
RI 6HFWRU 1RWH WKDW WKH $&& IXQFWLRQ DQG XQORFN E\SDVV PRGHV DUH QRW DYDLO
DEOH ZKHQ WKH 6HF6L 6HFWRU LV HQDEOHG
)DFWRU\ /RFNHG 6HF6L 6HFWRU 3URJUDPPHG DQG 3URWHFWHG $W WKH
)DFWRU\
,Q D IDFWRU\ ORFNHG GHYLFH WKH 6HF6L 6HFWRU LV SURWHFWHG ZKHQ WKH GHYLFH LV
VKLSSHG IURP WKH IDFWRU\ 7KH 6HF6L 6HFWRU FDQQRW EH PRGLILHG LQ DQ\ ZD\ 7KH
GHYLFH LV SUHSURJUDPPHG ZLWK ERWK D UDQGRP QXPEHU DQG D VHFXUH (61 7KH
ZRUG UDQGRP QXPEHU LV DW DGGUHVVHV KK LQ ZRUG PRGH RU
K)K LQ E\WH PRGH 7KH VHFXUH (61 LV SURJUDPPHG LQ WKH QH[W
ZRUGV DW DGGUHVVHV K)K RU K)K LQ E\WH PRGH 7KH
GHYLFH LV DYDLODEOH SUHSURJUDPPHG ZLWK RQH RI WKH IROORZLQJ
$ UDQGRP VHFXUH (61 RQO\
&XVWRPHU FRGH WKURXJK 6SDQVLRQ SURJUDPPLQJ VHUYLFHV
%RWK D UDQGRP VHFXUH (61 DQG FXVWRPHU FRGH WKURXJK 6SDQVLRQ SURJUDP
PLQJ VHUYLFHV
&RQWDFW \RXU ORFDO VDOHV RIILFH IRU GHWDLOV RQ XVLQJ 6SDQVLRQ SURJUDPPLQJ
VHUYLFHV
&XVWRPHU /RFNDEOH 6HF6L 6HFWRU 127 3URJUDPPHG RU 3URWHFWHG
$W WKH )DFWRU\
,I WKH VHFXULW\ IHDWXUH LV QRW UHTXLUHG WKH 6HF6L 6HFWRU FDQ EH WUHDWHG DV DQ DG
GLWLRQDO )ODVK PHPRU\ VSDFH 7KH 6HF6L 6HFWRU FDQ EH UHDG DQ\ QXPEHU RI WLPHV
EXW FDQ EH SURJUDPPHG DQG ORFNHG RQO\ RQFH 1RWH WKDW WKH DFFHOHUDWHG SUR
JUDPPLQJ $&& DQG XQORFN E\SDVV IXQFWLRQV DUH QRW DYDLODEOH ZKHQ
SURJUDPPLQJ WKH 6HF6L 6HFWRU
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
7KH 6HF6L 6HFWRU DUHD FDQ EH SURWHFWHG XVLQJ RQH RI WKH IROORZLQJ SURFHGXUHV
:ULWH WKH WKUHHF\FOH (QWHU 6HF6L 6HFWRU 5HJLRQ FRPPDQG VHTXHQFH DQG
WKHQ IROORZ WKH LQV\VWHP VHFWRU SURWHFW DOJRULWKP DV VKRZQ LQ
)LJXUH
H[
FHSW WKDW 5(6(7 PD\ EH DW HLWKHU 9
,+
RU 9
,'
7KLV DOORZV LQV\VWHP SURWHF
WLRQ RI WKH 6HF6L 6HFWRU 5HJLRQ ZLWKRXW UDLVLQJ DQ\ GHYLFH SLQ WR D KLJK
YROWDJH 1RWH WKDW WKLV PHWKRG LV RQO\ DSSOLFDEOH WR WKH 6HF6L 6HFWRU
:ULWH WKH WKUHHF\FOH (QWHU 6HF6L 6HFWRU 5HJLRQ FRPPDQG VHTXHQFH DQG
WKHQ XVH WKH DOWHUQDWH PHWKRG RI VHFWRU SURWHFWLRQ GHVFULEHG LQ WKH 6HFWRU
6HFWRU %ORFN 3URWHFWLRQ DQG 8QSURWHFWLRQ VHFWLRQ
2QFH WKH 6HF6L 6HFWRU LV ORFNHG DQG YHULILHG WKH V\VWHP PXVW ZULWH WKH ([LW 6HF6L
6HFWRU 5HJLRQ FRPPDQG VHTXHQFH WR UHWXUQ WR UHDGLQJ DQG ZULWLQJ WKH UHPDLQGHU
RI WKH DUUD\
7KH 6HF6L 6HFWRU ORFN PXVW EH XVHG ZLWK FDXWLRQ VLQFH RQFH ORFNHG WKHUH LV QR
SURFHGXUH DYDLODEOH IRU XQORFNLQJ WKH 6HF6L 6HFWRU DUHD DQG QRQH RI WKH ELWV LQ
WKH 6HF6L 6HFWRU PHPRU\ VSDFH FDQ EH PRGLILHG LQ DQ\ ZD\
+DUGZDUH 'DWD 3URWHFWLRQ
7KH FRPPDQG VHTXHQFH UHTXLUHPHQW RI XQORFN F\FOHV IRU SURJUDPPLQJ RU HUDVLQJ
SURYLGHV GDWD SURWHFWLRQ DJDLQVW LQDGYHUWHQW ZULWHV UHIHU WR 7DEOH
IRU FRP
PDQG GHILQLWLRQV ,Q DGGLWLRQ WKH IROORZLQJ KDUGZDUH GDWD SURWHFWLRQ PHDVXUHV
SUHYHQW DFFLGHQWDO HUDVXUH RU SURJUDPPLQJ ZKLFK PLJKW RWKHUZLVH EH FDXVHG E\
VSXULRXV V\VWHP OHYHO VLJQDOV GXULQJ 9
&&
SRZHUXS DQG SRZHUGRZQ WUDQVLWLRQV
RU IURP V\VWHP QRLVH
/RZ 9
&&
:ULWH ,QKLELW
:KHQ 9
&&
LV OHVV WKDQ 9
/.2
WKH GHYLFH GRHV QRW DFFHSW DQ\ ZULWH F\FOHV 7KLV SUR
WHFWV GDWD GXULQJ 9
&&
SRZHUXS DQG SRZHUGRZQ 7KH FRPPDQG UHJLVWHU DQG DOO
LQWHUQDO SURJUDPHUDVH FLUFXLWV DUH GLVDEOHG DQG WKH GHYLFH UHVHWV WR WKH UHDG
PRGH 6XEVHTXHQW ZULWHV DUH LJQRUHG XQWLO 9
&&
LV JUHDWHU WKDQ 9
/.2
7KH V\VWHP
PXVW SURYLGH WKH SURSHU VLJQDOV WR WKH FRQWURO SLQV WR SUHYHQW XQLQWHQWLRQDO ZULWHV
ZKHQ 9
&&
LV JUHDWHU WKDQ 9
/.2
:ULWH 3XOVH *OLWFK 3URWHFWLRQ
1RLVH SXOVHV RI OHVV WKDQ QV W\SLFDO RQ 2( &( RU :( GR QRW LQLWLDWH D ZULWH
F\FOH
/RJLFDO ,QKLELW
:ULWH F\FOHV DUH LQKLELWHG E\ KROGLQJ DQ\ RQH RI 2( 9
,/
&( 9
,+
RU :(
9
,+
7R LQLWLDWH D ZULWH F\FOH &( DQG :( PXVW EH D ORJLFDO ]HUR ZKLOH 2( LV D
ORJLFDO RQH
3RZHU8S :ULWH ,QKLELW
,I :( &( 9
,/
DQG 2( 9
,+
GXULQJ SRZHU XS WKH GHYLFH GRHV QRW DFFHSW
FRPPDQGV RQ WKH ULVLQJ HGJH RI :( 7KH LQWHUQDO VWDWH PDFKLQH LV DXWRPDWLFDOO\
UHVHW WR WKH UHDG PRGH RQ SRZHUXS
&RPPRQ )ODVK 0HPRU\ ,QWHUIDFH &),
7KH &RPPRQ )ODVK ,QWHUIDFH &), VSHFLILFDWLRQ RXWOLQHV GHYLFH DQG KRVW V\VWHP
VRIWZDUH LQWHUURJDWLRQ KDQGVKDNH ZKLFK DOORZV VSHFLILF YHQGRUVSHFLILHG VRIW
ZDUH DOJRULWKPV WR EH XVHG IRU HQWLUH IDPLOLHV RI GHYLFHV 6RIWZDUH VXSSRUW FDQ
WKHQ EH GHYLFHLQGHSHQGHQW -('(& ,'LQGHSHQGHQW DQG IRUZDUG DQG EDFN
ZDUGFRPSDWLEOH IRU WKH VSHFLILHG IODVK GHYLFH IDPLOLHV )ODVK YHQGRUV FDQ
VWDQGDUGL]H WKHLU H[LVWLQJ LQWHUIDFHV IRU ORQJWHUP FRPSDWLELOLW\
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
7KLV GHYLFH HQWHUV WKH &), 4XHU\ PRGH ZKHQ WKH V\VWHP ZULWHV WKH &), 4XHU\
FRPPDQG K WR DGGUHVV K LQ ZRUG PRGH RU DGGUHVV $$K LQ E\WH PRGH DQ\
WLPH WKH GHYLFH LV UHDG\ WR UHDG DUUD\ GDWD 7KH V\VWHP FDQ UHDG &), LQIRUPDWLRQ
DW WKH DGGUHVVHV JLYHQ LQ 7DEOHV
7R WHUPLQDWH UHDGLQJ &), GDWD WKH V\VWHP
PXVW ZULWH WKH UHVHW FRPPDQG7KH &), 4XHU\ PRGH LV QRW DFFHVVLEOH ZKHQ WKH
GHYLFH LV H[HFXWLQJ DQ (PEHGGHG 3URJUDP RU HPEHGGHG (UDVH DOJRULWKP
7KH V\VWHP FDQ DOVR ZULWH WKH &), TXHU\ FRPPDQG ZKHQ WKH GHYLFH LV LQ WKH DX
WRVHOHFW PRGH 7KH GHYLFH HQWHUV WKH &), TXHU\ PRGH DQG WKH V\VWHP FDQ UHDG
&), GDWD DW WKH DGGUHVVHV JLYHQ LQ 7DEOHV
7KH V\VWHP PXVW ZULWH WKH UHVHW
FRPPDQG WR UHDGLQJ DUUD\ GDWD
)RU IXUWKHU LQIRUPDWLRQ SOHDVH UHIHU WR WKH &), 6SHFLILFDWLRQ DQG &), 3XEOLFDWLRQ
&RQWDFW \RXU ORFDO VDOHV RIILFH IRU FRSLHV RI WKHVH GRFXPHQWV
7DEOH &), 4XHU\ ,GHQWLILFDWLRQ 6WULQJ
7DEOH 6\VWHP ,QWHUIDFH 6WULQJ
$GGUHVVHV
:RUG 0RGH
$GGUHVVHV
%\WH 0RGH
'DWD
'HVFULSWLRQ
K
K
K
K
K
K
K
K
K
4XHU\ 8QLTXH $6&,, VWULQJ 45<
K
K
K
K
K
K
3ULPDU\ 2(0 &RPPDQG 6HW
K
K
$K
&K
K
K
$GGUHVV IRU 3ULPDU\ ([WHQGHG 7DEOH
K
K
(K
K
K
K
$OWHUQDWH 2(0 &RPPDQG 6HW K QRQH H[LVWV
K
$K
K
K
K
K
$GGUHVV IRU $OWHUQDWH 2(0 ([WHQGHG 7DEOH K QRQH H[LVWV
$GGUHVVHV
:RUG 0RGH
$GGUHVVHV
%\WH 0RGH
'DWD
'HVFULSWLRQ
%K
K
K
9
&&
0LQ ZULWHHUDVH
'' YROW '' PLOOLYROW
&K
K
K
9
&&
0D[ ZULWHHUDVH
'' YROW '' PLOOLYROW
'K
$K
K
9
33
0LQ YROWDJH K QR 9
33
SLQ SUHVHQW
(K
&K
K
9
33
0D[ YROWDJH K QR 9
33
SLQ SUHVHQW
)K
(K
K
7\SLFDO WLPHRXW SHU VLQJOH E\WHZRUG ZULWH
I
V
K
K
K
7\SLFDO WLPHRXW IRU 0LQ VL]H EXIIHU ZULWH
I
V K QRW VXSSRUWHG
K
K
K
7\SLFDO WLPHRXW SHU LQGLYLGXDO EORFN HUDVH
I
PV
K
K
K
7\SLFDO WLPHRXW IRU IXOO FKLS HUDVH
I
PV K QRW VXSSRUWHG
K
K
K
0D[ WLPHRXW IRU E\WHZRUG ZULWH
I
WLPHV W\SLFDO
K
K
K
0D[ WLPHRXW IRU EXIIHU ZULWH
I
WLPHV W\SLFDO
K
$K
K
0D[ WLPHRXW SHU LQGLYLGXDO EORFN HUDVH
I
WLPHV W\SLFDO
K
&K
K
0D[ WLPHRXW IRU IXOO FKLS HUDVH
I
WLPHV W\SLFDO K QRW VXSSRUWHG
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
7DEOH 'HYLFH *HRPHWU\ 'HILQLWLRQ
7DEOH 3ULPDU\ 9HQGRU6SHFLILF ([WHQGHG 4XHU\
$GGUHVVHV
:RUG 0RGH
$GGUHVVHV
%\WH 0RGH
'DWD
'HVFULSWLRQ
K
(K
K
'HYLFH 6L]H
1
E\WH
K
K
K
K
K
K
)ODVK 'HYLFH ,QWHUIDFH GHVFULSWLRQ UHIHU WR &), SXEOLFDWLRQ
$K
%K
K
K
K
K
0D[ QXPEHU RI E\WH LQ PXOWLE\WH ZULWH
1
K QRW VXSSRUWHG
&K
K
K
1XPEHU RI (UDVH %ORFN 5HJLRQV ZLWKLQ GHYLFH
'K
(K
)K
K
$K
&K
(K
K
K
K
K
K
(UDVH %ORFN 5HJLRQ ,QIRUPDWLRQ
UHIHU WR WKH &), VSHFLILFDWLRQ RU &), SXEOLFDWLRQ
K
K
K
K
K
K
K
K
(K
K
K
K
(UDVH %ORFN 5HJLRQ ,QIRUPDWLRQ
UHIHU WR WKH &), VSHFLILFDWLRQ RU &), SXEOLFDWLRQ
K
K
K
K
$K
&K
(K
K
K
K
K
K
(UDVH %ORFN 5HJLRQ ,QIRUPDWLRQ
UHIHU WR WKH &), VSHFLILFDWLRQ RU &), SXEOLFDWLRQ
K
$K
%K
&K
K
K
K
K
K
K
K
K
(UDVH %ORFN 5HJLRQ ,QIRUPDWLRQ
UHIHU WR WKH &), VSHFLILFDWLRQ RU &), SXEOLFDWLRQ
$GGUHVVHV
:RUG 0RGH
$GGUHVVHV
%\WH 0RGH
'DWD
'HVFULSWLRQ
K
K
K
K
K
K
K
K
K
4XHU\XQLTXH $6&,, VWULQJ 35,
K
K
K
0DMRU YHUVLRQ QXPEHU $6&,, UHIOHFWV PRGLILFDWLRQV WR WKH VLOLFRQ
K
K
K
0LQRU YHUVLRQ QXPEHU $6&,, UHIOHFWV PRGLILFDWLRQV WR WKH &), WDEOH
K
$K
&K
$GGUHVV 6HQVLWLYH 8QORFN %LWV
5HTXLUHG 1RW 5HTXLUHG
6LOLFRQ 5HYLVLRQ 1XPEHU %LWV
K
&K
K
(UDVH 6XVSHQG
1RW 6XSSRUWHG 7R 5HDG 2QO\ 7R 5HDG :ULWH
K
(K
K
6HFWRU 3URWHFW
1RW 6XSSRUWHG ; 1XPEHU RI VHFWRUV LQ SHU JURXS
K
K
K
6HFWRU 7HPSRUDU\ 8QSURWHFW
1RW 6XSSRUWHG 6XSSRUWHG
K
K
K
6HFWRU 3URWHFW8QSURWHFW VFKHPH
) PRGH ) PRGH ) /9
PRGH
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
$K
K
;;K
1XPEHU RI VHFWRUV H[FOXGLQJ %DQN
;; PRGHOV
;; PRGHOV
;; PRGHOV
%K
K
K
%XUVW 0RGH 7\SH
1RW 6XSSRUWHG 6XSSRUWHG
&K
K
K
3DJH 0RGH 7\SH
1RW 6XSSRUWHG :RUG 3DJH :RUG 3DJH
'K
$K
K
$&& $FFHOHUDWLRQ 6XSSO\ 0LQLPXP
K 1RW 6XSSRUWHG '' 9ROW '' P9
(K
&K
K
$&& $FFHOHUDWLRQ 6XSSO\ 0D[LPXP
K 1RW 6XSSRUWHG '' 9ROW '' P9
)K
(K
;K
7RS%RWWRP %RRW 6HFWRU )ODJ
K %RWWRP %RRW 'HYLFH K 7RS %RRW 'HYLFH
K
$K
K
3URJUDP 6XVSHQG
1RW VXSSRUWHG 6XSSRUWHG
K
$(K
;K
%DQN 2UJDQL]DWLRQ
'DWD DW $K LV ]HUR
; EDQNV PRGHOV
; EDQNV DOO RWKHU PRGHOV
K
%K
;;K
%DQN 5HJLRQ ,QIRUPDWLRQ 1XPEHU RI VHFWRUV RQ %DQN
;; ) PRGHOV
;; PRGHOV
;; PRGHOV
K
%K
;;K
%DQN 5HJLRQ ,QIRUPDWLRQ 1XPEHU RI VHFWRUV LQ %DQN
;; PRGHOV
;; PRGHOV
;; PRGHOV
;; PRGHOV
$K
%K
;;K
%DQN 5HJLRQ ,QIRUPDWLRQ 1XPEHU RI VHFWRUV LQ %DQN
;; PRGHOV
;; DOO RWKHU PRGHOV
%K
%K
;;K
%DQN 5HJLRQ ,QIRUPDWLRQ 1XPEHU RI VHFWRUV LQ %DQN
;; PRGHOV
;; DOO RWKHU PRGHOV
$GGUHVVHV
:RUG 0RGH
$GGUHVVHV
%\WH 0RGH
'DWD
'HVFULSWLRQ &RQWLQXHG
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
&RPPDQG 'HILQLWLRQV
:ULWLQJ VSHFLILF DGGUHVV DQG GDWD FRPPDQGV RU VHTXHQFHV LQWR WKH FRPPDQG
UHJLVWHU LQLWLDWHV GHYLFH RSHUDWLRQV 7DEOH
GHILQHV WKH YDOLG UHJLVWHU FRPPDQG
VHTXHQFHV :ULWLQJ LQFRUUHFW DGGUHVV DQG GDWD YDOXHV RU ZULWLQJ WKHP LQ WKH LP
SURSHU VHTXHQFH PD\ SODFH WKH GHYLFH LQ DQ XQNQRZQ VWDWH $ UHVHW FRPPDQG LV
WKHQ UHTXLUHG WR UHWXUQ WKH GHYLFH WR UHDGLQJ DUUD\ GDWD
$OO DGGUHVVHV DUH ODWFKHG RQ WKH IDOOLQJ HGJH RI :( RU &( ZKLFKHYHU KDSSHQV
ODWHU $OO GDWD LV ODWFKHG RQ WKH ULVLQJ HGJH RI :( RU &( ZKLFKHYHU KDSSHQV
ILUVW 5HIHU WR WKH $& &KDUDFWHULVWLFV VHFWLRQ IRU WLPLQJ GLDJUDPV
5HDGLQJ $UUD\ 'DWD
7KH GHYLFH LV DXWRPDWLFDOO\ VHW WR UHDGLQJ DUUD\ GDWD DIWHU GHYLFH SRZHUXS 1R
FRPPDQGV DUH UHTXLUHG WR UHWULHYH GDWD (DFK EDQN LV UHDG\ WR UHDG DUUD\ GDWD
DIWHU FRPSOHWLQJ DQ (PEHGGHG 3URJUDP RU (PEHGGHG (UDVH DOJRULWKP
$IWHU WKH GHYLFH DFFHSWV DQ (UDVH 6XVSHQG FRPPDQG WKH FRUUHVSRQGLQJ EDQN
HQWHUV WKH HUDVHVXVSHQGUHDG PRGH DIWHU ZKLFK WKH V\VWHP FDQ UHDG GDWD IURP
DQ\ QRQHUDVHVXVSHQGHG VHFWRU ZLWKLQ WKH VDPH EDQN 7KH V\VWHP FDQ UHDG
DUUD\ GDWD XVLQJ WKH VWDQGDUG UHDG WLPLQJ H[FHSW WKDW LI LW UHDGV DW DQ DGGUHVV
ZLWKLQ HUDVHVXVSHQGHG VHFWRUV WKH GHYLFH RXWSXWV VWDWXV GDWD $IWHU FRPSOHWLQJ
D SURJUDPPLQJ RSHUDWLRQ LQ WKH (UDVH 6XVSHQG PRGH WKH V\VWHP PD\ RQFH
DJDLQ UHDG DUUD\ GDWD ZLWK WKH VDPH H[FHSWLRQ 6HH WKH (UDVH 6XVSHQG(UDVH 5H
VXPH &RPPDQGV VHFWLRQ IRU PRUH LQIRUPDWLRQ
7KH V\VWHP PXVW LVVXH WKH UHVHW FRPPDQG WR UHWXUQ D EDQN WR WKH UHDG RU HUDVH
VXVSHQGUHDG PRGH LI '4 JRHV KLJK GXULQJ DQ DFWLYH SURJUDP RU HUDVH RSHUD
WLRQ RU LI WKH EDQN LV LQ WKH DXWRVHOHFW PRGH 6HH WKH QH[W VHFWLRQ 5HVHW
&RPPDQG IRU PRUH LQIRUPDWLRQ
6HH DOVR 5HTXLUHPHQWV IRU 5HDGLQJ $UUD\ 'DWD LQ WKH 'HYLFH %XV 2SHUDWLRQV VHF
WLRQ IRU PRUH LQIRUPDWLRQ 7KH 5HDG2QO\ 2SHUDWLRQV WDEOH SURYLGHV WKH UHDG
SDUDPHWHUV DQG )LJXUH VKRZV WKH WLPLQJ GLDJUDP
5HVHW &RPPDQG
:ULWLQJ WKH UHVHW FRPPDQG UHVHWV WKH EDQNV WR WKH UHDG RU HUDVHVXVSHQGUHDG
PRGH $GGUHVV ELWV DUH GRQW FDUHV IRU WKLV FRPPDQG
7KH UHVHW FRPPDQG PD\ EH ZULWWHQ EHWZHHQ WKH VHTXHQFH F\FOHV LQ DQ HUDVH
FRPPDQG VHTXHQFH EHIRUH HUDVLQJ EHJLQV 7KLV UHVHWV WKH EDQN WR ZKLFK WKH V\V
WHP ZDV ZULWLQJ WR WKH UHDG PRGH 2QFH HUDVXUH EHJLQV KRZHYHU WKH GHYLFH
LJQRUHV UHVHW FRPPDQGV XQWLO WKH RSHUDWLRQ LV FRPSOHWH
7KH UHVHW FRPPDQG PD\ EH ZULWWHQ EHWZHHQ WKH VHTXHQFH F\FOHV LQ D SURJUDP
FRPPDQG VHTXHQFH EHIRUH SURJUDPPLQJ EHJLQV 7KLV UHVHWV WKH EDQN WR ZKLFK
WKH V\VWHP ZDV ZULWLQJ WR WKH UHDG PRGH ,I WKH SURJUDP FRPPDQG VHTXHQFH LV
ZULWWHQ WR D EDQN WKDW LV LQ WKH (UDVH 6XVSHQG PRGH ZULWLQJ WKH UHVHW FRPPDQG
UHWXUQV WKDW EDQN WR WKH HUDVHVXVSHQGUHDG PRGH 2QFH SURJUDPPLQJ EHJLQV
KRZHYHU WKH GHYLFH LJQRUHV UHVHW FRPPDQGV XQWLO WKH RSHUDWLRQ LV FRPSOHWH
7KH UHVHW FRPPDQG PD\ EH ZULWWHQ EHWZHHQ WKH VHTXHQFH F\FOHV LQ DQ DXWRVHOHFW
FRPPDQG VHTXHQFH 2QFH LQ WKH DXWRVHOHFW PRGH WKH UHVHW FRPPDQG PXVW EH
ZULWWHQ WR UHWXUQ WR WKH UHDG PRGH ,I D EDQN HQWHUHG WKH DXWRVHOHFW PRGH ZKLOH
LQ WKH (UDVH 6XVSHQG PRGH ZULWLQJ WKH UHVHW FRPPDQG UHWXUQV WKDW EDQN WR WKH
HUDVHVXVSHQGUHDG PRGH
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
,I '4 JRHV KLJK GXULQJ D SURJUDP RU HUDVH RSHUDWLRQ ZULWLQJ WKH UHVHW FRPPDQG
UHWXUQV WKH EDQNV WR WKH UHDG PRGH RU HUDVHVXVSHQGUHDG PRGH LI WKDW EDQN
ZDV LQ (UDVH 6XVSHQG
$XWRVHOHFW &RPPDQG 6HTXHQFH
7KH DXWRVHOHFW FRPPDQG VHTXHQFH DOORZV WKH KRVW V\VWHP WR DFFHVV WKH PDQX
IDFWXUHU DQG GHYLFH FRGHV DQG GHWHUPLQH ZKHWKHU RU QRW D VHFWRU LV SURWHFWHG
7KH DXWRVHOHFW FRPPDQG VHTXHQFH PD\ EH ZULWWHQ WR DQ DGGUHVV ZLWKLQ D EDQN
WKDW LV HLWKHU LQ WKH UHDG RU HUDVHVXVSHQGUHDG PRGH 7KH DXWRVHOHFW FRPPDQG
PD\ QRW EH ZULWWHQ ZKLOH WKH GHYLFH LV DFWLYHO\ SURJUDPPLQJ RU HUDVLQJ LQ DQRWKHU
EDQN
7KH DXWRVHOHFW FRPPDQG VHTXHQFH LV LQLWLDWHG E\ ILUVW ZULWLQJ WZR XQORFN F\FOHV
7KLV LV IROORZHG E\ D WKLUG ZULWH F\FOH WKDW FRQWDLQV WKH EDQN DGGUHVV DQG WKH DX
WRVHOHFW FRPPDQG 7KH EDQN WKHQ HQWHUV WKH DXWRVHOHFW PRGH 7KH V\VWHP PD\
UHDG DQ\ QXPEHU RI DXWRVHOHFW FRGHV ZLWKRXW UHLQLWLDWLQJ WKH FRPPDQG VHTXHQFH
7DEOH
VKRZV WKH DGGUHVV DQG GDWD UHTXLUHPHQWV 7R GHWHUPLQH VHFWRU SURWHF
WLRQ LQIRUPDWLRQ WKH V\VWHP PXVW ZULWH WR WKH DSSURSULDWH EDQN DGGUHVV %$ DQG
VHFWRU DGGUHVV 6$ 7DEOHV
DQG
VKRZ WKH DGGUHVV UDQJH DQG EDQN QXPEHU
DVVRFLDWHG ZLWK HDFK VHFWRU
7KH V\VWHP PXVW ZULWH WKH UHVHW FRPPDQG WR UHWXUQ WR WKH UHDG PRGH RU HUDVH
VXVSHQGUHDG PRGH LI WKH EDQN ZDV SUHYLRXVO\ LQ (UDVH 6XVSHQG
(QWHU 6HF6L 6HFWRU([LW 6HF6L 6HFWRU
&RPPDQG 6HTXHQFH
7KH 6HF6L 6HFWRU UHJLRQ SURYLGHV D VHFXUHG GDWD DUHD FRQWDLQLQJ D UDQGRP VL[
WHHQE\WH HOHFWURQLF VHULDO QXPEHU (61 7KH V\VWHP FDQ DFFHVV WKH 6HF6L
6HFWRU UHJLRQ E\ LVVXLQJ WKH WKUHHF\FOH (QWHU 6HF6L 6HFWRU FRPPDQG VHTXHQFH
7KH GHYLFH FRQWLQXHV WR DFFHVV WKH 6HF6L 6HFWRU UHJLRQ XQWLO WKH V\VWHP LVVXHV
WKH IRXUF\FOH ([LW 6HF6L 6HFWRU FRPPDQG VHTXHQFH 7KH ([LW 6HF6L 6HFWRU FRP
PDQG VHTXHQFH UHWXUQV WKH GHYLFH WR QRUPDO RSHUDWLRQ 7KH 6HF6L 6HFWRU LV QRW
DFFHVVLEOH ZKHQ WKH GHYLFH LV H[HFXWLQJ DQ (PEHGGHG 3URJUDP RU HPEHGGHG
(UDVH DOJRULWKP 7DEOH
VKRZV WKH DGGUHVV DQG GDWD UHTXLUHPHQWV IRU ERWK FRP
PDQG VHTXHQFHV 6HH DOVR 6HF6L 6HFX UHG 6LOLFRQ 6HFWRU
)ODVK 0HPRU\ 5HJLRQ IRU IXUWKHU LQIRUPDWLRQ 1RWH WKDW WKH $&& IXQFWLRQ DQG XQ
ORFN E\SDVV PRGHV DUH QRW DYDLODEOH ZKHQ WKH 6HF6L 6HFWRU LV HQDEOHG
%\WH:RUG 3URJUDP &RPPDQG 6HTXHQFH
7KH V\VWHP PD\ SURJUDP WKH GHYLFH E\ ZRUG RU E\WH GHSHQGLQJ RQ WKH VWDWH RI
WKH %<7( SLQ 3URJUDPPLQJ LV D IRXUEXVF\FOH RSHUDWLRQ 7KH SURJUDP FRP
PDQG VHTXHQFH LV LQLWLDWHG E\ ZULWLQJ WZR XQORFN ZULWH F\FOHV IROORZHG E\ WKH
SURJUDP VHWXS FRPPDQG 7KH SURJUDP DGGUHVV DQG GDWD DUH ZULWWHQ QH[W ZKLFK
LQ WXUQ LQLWLDWH WKH (PEHGGHG 3URJUDP DOJRULWKP 7KH V\VWHP LV QRW UHTXLUHG WR
SURYLGH IXUWKHU FRQWUROV RU WLPLQJV 7KH GHYLFH DXWRPDWLFDOO\ SURYLGHV LQWHUQDOO\
JHQHUDWHG SURJUDP SXOVHV DQG YHULILHV WKH SURJUDPPHG FHOO PDUJLQ 7DEOH
VKRZV WKH DGGUHVV DQG GDWD UHTXLUHPHQWV IRU WKH E\WH SURJUDP FRPPDQG
VHTXHQFH
:KHQ WKH (PEHGGHG 3URJUDP DOJRULWKP LV FRPSOHWH WKDW EDQN WKHQ UHWXUQV WR
WKH UHDG PRGH DQG DGGUHVVHV DUH QR ORQJHU ODWFKHG 7KH V\VWHP FDQ GHWHUPLQH
WKH VWDWXV RI WKH SURJUDP RSHUDWLRQ E\ XVLQJ '4 '4 RU 5<%< 5HIHU WR WKH
:ULWH 2SHUDWLRQ 6WDWXV VHFWLRQ IRU LQIRUPDWLRQ RQ WKHVH VWDWXV ELWV
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$Q\ FRPPDQGV ZULWWHQ WR WKH GHYLFH GXULQJ WKH (PEHGGHG 3URJUDP $OJRULWKP
DUH LJQRUHG 1RWH WKDW D KDUGZDUH UHVHW LPPHGLDWHO\ WHUPLQDWHV WKH SURJUDP
RSHUDWLRQ 7KH SURJUDP FRPPDQG VHTXHQFH VKRXOG EH UHLQLWLDWHG RQFH WKDW EDQN
KDV UHWXUQHG WR WKH UHDG PRGH WR HQVXUH GDWD LQWHJULW\ 1RWH WKDW WKH 6HF6L 6HF
WRU DXWRVHOHFW DQG &), IXQFWLRQV DUH XQDYDLODEOH ZKHQ D SURJUDP RSHUDWLRQ LV LQ
SURJUHVV
3URJUDPPLQJ LV DOORZHG LQ DQ\ VHTXHQFH DQG DFURVV VHFWRU ERXQGDULHV $ ELW
FDQQRW EH SURJUDPPHG IURP EDFN WR D
$WWHPSWLQJ WR GR VR PD\
FDXVH WKDW EDQN WR VHW '4 RU FDXVH WKH '4 DQG '4 VWDWXV ELWV WR LQGLFDWH
WKH RSHUDWLRQ ZDV VXFFHVVIXO +RZHYHU D VXFFHHGLQJ UHDG ZLOO VKRZ WKDW WKH GDWD
LV VWLOO 2QO\ HUDVH RSHUDWLRQV FDQ FRQYHUW D WR D
8QORFN %\SDVV &RPPDQG 6HTXHQFH
7KH XQORFN E\SDVV IHDWXUH DOORZV WKH V\VWHP WR SURJUDP E\WHV RU ZRUGV WR D EDQN
IDVWHU WKDQ XVLQJ WKH VWDQGDUG SURJUDP FRPPDQG VHTXHQFH 7KH XQORFN E\SDVV
FRPPDQG VHTXHQFH LV LQLWLDWHG E\ ILUVW ZULWLQJ WZR XQORFN F\FOHV 7KLV LV IROORZHG
E\ D WKLUG ZULWH F\FOH FRQWDLQLQJ WKH XQORFN E\SDVV FRPPDQG K 7KDW EDQN
WKHQ HQWHUV WKH XQORFN E\SDVV PRGH $ WZRF\FOH XQORFN E\SDVV SURJUDP FRP
PDQG VHTXHQFH LV DOO WKDW LV UHTXLUHG WR SURJUDP LQ WKLV PRGH 7KH ILUVW F\FOH LQ
WKLV VHTXHQFH FRQWDLQV WKH XQORFN E\SDVV SURJUDP FRPPDQG $K WKH VHFRQG
F\FOH FRQWDLQV WKH SURJUDP DGGUHVV DQG GDWD $GGLWLRQDO GDWD LV SURJUDPPHG LQ
WKH VDPH PDQQHU 7KLV PRGH GLVSHQVHV ZLWK WKH LQLWLDO WZR XQORFN F\FOHV UHTXLUHG
LQ WKH VWDQGDUG SURJUDP FRPPDQG VHTXHQFH UHVXOWLQJ LQ IDVWHU WRWDO SURJUDP
PLQJ WLPH 7DEOH
VKRZV WKH UHTXLUHPHQWV IRU WKH FRPPDQG VHTXHQFH
'XULQJ WKH XQORFN E\SDVV PRGH RQO\ WKH 8QORFN %\SDVV 3URJUDP DQG 8QORFN %\
SDVV 5HVHW FRPPDQGV DUH YDOLG 7R H[LW WKH XQORFN E\SDVV PRGH WKH V\VWHP
PXVW LVVXH WKH WZRF\FOH XQORFN E\SDVV UHVHW FRPPDQG VHTXHQFH 7DEOH
7KH GHYLFH RIIHUV DFFHOHUDWHG SURJUDP RSHUDWLRQV WKURXJK WKH :3 $&& SLQ
:KHQ WKH V\VWHP DVVHUWV 9
++
RQ WKH :3 $&& SLQ WKH GHYLFH DXWRPDWLFDOO\ HQ
WHUV WKH 8QORFN %\SDVV PRGH 7KH V\VWHP PD\ WKHQ ZULWH WKH WZRF\FOH 8QORFN
%\SDVV SURJUDP FRPPDQG VHTXHQFH 7KH GHYLFH XVHV WKH KLJKHU YROWDJH RQ WKH
:3 $&& SLQ WR DFFHOHUDWH WKH RSHUDWLRQ 1RWH WKDW WKH :3 $&& SLQ PXVW QRW
EH DW 9
++
IRU DQ\ RSHUDWLRQ RWKHU WKDQ DFFHOHUDWHG SURJUDPPLQJ RU GHYLFH GDP
DJH PD\ UHVXOW ,Q DGGLWLRQ WKH :3 $&& SLQ PXVW QRW EH OHIW IORDWLQJ RU
XQFRQQHFWHG LQFRQVLVWHQW EHKDYLRU RI WKH GHYLFH PD\ UHVXOW

)LJXUH LOOXVWUDWHV WKH DOJRULWKP IRU WKH SURJUDP RSHUDWLRQ 5HIHU WR WKH (UDVH
DQG 3URJUDP 2SHUDWLRQV WDEOH LQ WKH $& &KDUDFWHULVWLFV VHFWLRQ IRU SDUDPHWHUV
DQG
)LJXUH
IRU WLPLQJ GLDJUDPV
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
)LJXUH 3URJUDP 2SHUDWLRQ
&KLS (UDVH &RPPDQG 6HTXHQFH
&KLS HUDVH LV D VL[ EXV F\FOH RSHUDWLRQ 7KH FKLS HUDVH FRPPDQG VHTXHQFH LV LQL
WLDWHG E\ ZULWLQJ WZR XQORFN F\FOHV IROORZHG E\ D VHWXS FRPPDQG 7ZR
DGGLWLRQDO XQORFN ZULWH F\FOHV DUH WKHQ IROORZHG E\ WKH FKLS HUDVH FRPPDQG
ZKLFK LQ WXUQ LQYRNHV WKH (PEHGGHG (UDVH DOJRULWKP 7KH GHYLFH GRHV QRW UHTXLUH
WKH V\VWHP WR SUHSURJUDP SULRU WR HUDVH 7KH (PEHGGHG (UDVH DOJRULWKP DXWR
PDWLFDOO\ SUHSURJUDPV DQG YHULILHV WKH HQWLUH PHPRU\ IRU DQ DOO ]HUR GDWD SDWWHUQ
SULRU WR HOHFWULFDO HUDVH 7KH V\VWHP LV QRW UHTXLUHG WR SURYLGH DQ\ FRQWUROV RU WLP
LQJV GXULQJ WKHVH RSHUDWLRQV 7DEOH
VKRZV WKH DGGUHVV DQG GDWD UHTXLUHPHQWV
IRU WKH FKLS HUDVH FRPPDQG VHTXHQFH
:KHQ WKH (PEHGGHG (UDVH DOJRULWKP LV FRPSOHWH WKDW EDQN UHWXUQV WR WKH UHDG
PRGH DQG DGGUHVVHV DUH QR ORQJHU ODWFKHG 7KH V\VWHP FDQ GHWHUPLQH WKH VWDWXV
RI WKH HUDVH RSHUDWLRQ E\ XVLQJ '4 '4 '4 RU 5<%< 5HIHU WR WKH :ULWH
2SHUDWLRQ 6WDWXV VHFWLRQ IRU LQIRUPDWLRQ RQ WKHVH VWDWXV ELWV
$Q\ FRPPDQGV ZULWWHQ GXULQJ WKH FKLS HUDVH RSHUDWLRQ DUH LJQRUHG +RZHYHU
QRWH WKDW D KDUGZDUH UHVHW LPPHGLDWHO\ WHUPLQDWHV WKH HUDVH RSHUDWLRQ ,I WKDW
RFFXUV WKH FKLS HUDVH FRPPDQG VHTXHQFH VKRXOG EH UHLQLWLDWHG RQFH WKDW EDQN
KDV UHWXUQHG WR UHDGLQJ DUUD\ GDWD WR HQVXUH GDWD LQWHJULW\ 1RWH WKDW WKH 6HF6L
6HFWRU DXWRVHOHFW DQG &), IXQFWLRQV DUH XQDYDLODEOH ZKHQ DQ HUDVH RSHUDWLRQ LV
LQ SURJUHVV
START
Write Program
Command Sequence
Data Poll
from System
Verify Data?
No
Yes
Last Address?
No
Yes
Programming
Completed
Increment Address
Embedded
Program
algorithm
in progress
Ir)TrrUhiyr
"
sthphqrrpr
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
)LJXUH LOOXVWUDWHV WKH DOJRULWKP IRU WKH HUDVH RSHUDWLRQ 5HIHU WR WKH (UDVH DQG
3URJUDP 2SHUDWLRQV WDEOHV LQ WKH $& &KDUDFWHULVWLFV VHFWLRQ IRU SDUDPHWHUV DQG
)LJXUH
VHFWLRQ IRU WLPLQJ GLDJUDPV
6HFWRU (UDVH &RPPDQG 6HTXHQFH
6HFWRU HUDVH LV D VL[ EXV F\FOH RSHUDWLRQ 7KH VHFWRU HUDVH FRPPDQG VHTXHQFH LV
LQLWLDWHG E\ ZULWLQJ WZR XQORFN F\FOHV IROORZHG E\ D VHWXS FRPPDQG 7ZR DGGL
WLRQDO XQORFN F\FOHV DUH ZULWWHQ DQG DUH WKHQ IROORZHG E\ WKH DGGUHVV RI WKH
VHFWRU WR EH HUDVHG DQG WKH VHFWRU HUDVH FRPPDQG 7DEOH
VKRZV WKH DGGUHVV
DQG GDWD UHTXLUHPHQWV IRU WKH VHFWRU HUDVH FRPPDQG VHTXHQFH
7KH GHYLFH GRHV QRW UHTXLUH WKH V\VWHP WR SUHSURJUDP SULRU WR HUDVH 7KH (P
EHGGHG (UDVH DOJRULWKP DXWRPDWLFDOO\ SURJUDPV DQG YHULILHV WKH HQWLUH VHFWRU IRU
DQ DOO ]HUR GDWD SDWWHUQ SULRU WR HOHFWULFDO HUDVH 7KH V\VWHP LV QRW UHTXLUHG WR
SURYLGH DQ\ FRQWUROV RU WLPLQJV GXULQJ WKHVH RSHUDWLRQV
$IWHU WKH FRPPDQG VHTXHQFH LV ZULWWHQ D VHFWRU HUDVH WLPHRXW RI V RFFXUV
'XULQJ WKH WLPHRXW SHULRG DGGLWLRQDO VHFWRU DGGUHVVHV DQG VHFWRU HUDVH FRP
PDQGV PD\ EH ZULWWHQ /RDGLQJ WKH VHFWRU HUDVH EXIIHU PD\ EH GRQH LQ DQ\
VHTXHQFH DQG WKH QXPEHU RI VHFWRUV PD\ EH IURP RQH VHFWRU WR DOO VHFWRUV 7KH
WLPH EHWZHHQ WKHVH DGGLWLRQDO F\FOHV PXVW EH OHVV WKDQ V RWKHUZLVH HUDVXUH
PD\ EHJLQ $Q\ VHFWRU HUDVH DGGUHVV DQG FRPPDQG IROORZLQJ WKH H[FHHGHG WLPH
RXW PD\ RU PD\ QRW EH DFFHSWHG ,W LV UHFRPPHQGHG WKDW SURFHVVRU LQWHUUXSWV EH
GLVDEOHG GXULQJ WKLV WLPH WR HQVXUH DOO FRPPDQGV DUH DFFHSWHG 7KH LQWHUUXSWV
FDQ EH UHHQDEOHG DIWHU WKH ODVW 6HFWRU (UDVH FRPPDQG LV ZULWWHQ $Q\ FRP
PDQG RWKHU WKDQ 6HFWRU (UDVH RU (UDVH 6XVSHQG GXULQJ WKH WLPHRXW
SHULRG UHVHWV WKDW EDQN WR WKH UHDG PRGH
7KH V\VWHP PXVW UHZULWH WKH FRP
PDQG VHTXHQFH DQG DQ\ DGGLWLRQDO DGGUHVVHV DQG FRPPDQGV
7KH V\VWHP FDQ PRQLWRU '4 WR GHWHUPLQH LI WKH VHFWRU HUDVH WLPHU KDV WLPHG RXW
6HH WKH VHFWLRQ RQ '4 6HFWRU (UDVH 7LPHU 7KH WLPHRXW EHJLQV IURP WKH ULV
LQJ HGJH RI WKH ILQDO :(
RU &(
SXOVH ILUVW ULVLQJ HGJH LQ WKH FRPPDQG
VHTXHQFH
:KHQ WKH (PEHGGHG (UDVH DOJRULWKP LV FRPSOHWH WKH EDQN UHWXUQV WR UHDGLQJ
DUUD\ GDWD DQG DGGUHVVHV DUH QR ORQJHU ODWFKHG 1RWH WKDW ZKLOH WKH (PEHGGHG
(UDVH RSHUDWLRQ LV LQ SURJUHVV WKH V\VWHP FDQ UHDG GDWD IURP WKH QRQHUDVLQJ
EDQN 7KH V\VWHP FDQ GHWHUPLQH WKH VWDWXV RI WKH HUDVH RSHUDWLRQ E\ UHDGLQJ
'4 '4 '4 RU 5<%< LQ WKH HUDVLQJ EDQN 5HIHU WR WKH :ULWH 2SHUDWLRQ 6WD
WXV VHFWLRQ IRU LQIRUPDWLRQ RQ WKHVH VWDWXV ELWV
2QFH WKH VHFWRU HUDVH RSHUDWLRQ KDV EHJXQ RQO\ WKH (UDVH 6XVSHQG FRPPDQG LV
YDOLG $OO RWKHU FRPPDQGV DUH LJQRUHG +RZHYHU QRWH WKDW D KDUGZDUH UHVHW LP
PHGLDWHO\ WHUPLQDWHV WKH HUDVH RSHUDWLRQ ,I WKDW RFFXUV WKH VHFWRU HUDVH
FRPPDQG VHTXHQFH VKRXOG EH UHLQLWLDWHG RQFH WKDW EDQN KDV UHWXUQHG WR UHDGLQJ
DUUD\ GDWD WR HQVXUH GDWD LQWHJULW\ 1RWH WKDW WKH 6HF6L 6HFWRU DXWRVHOHFW DQG
&), IXQFWLRQV DUH XQDYDLODEOH ZKHQ DQ HUDVH RSHUDWLRQ LV LQ SURJUHVV
)LJXUH LOOXVWUDWHV WKH DOJRULWKP IRU WKH HUDVH RSHUDWLRQ 5HIHU WR WKH (UDVH DQG
3URJUDP 2SHUDWLRQV WDEOHV LQ WKH $& &KDUDFWHULVWLFV VHFWLRQ IRU SDUDPHWHUV DQG
)LJXUH
VHFWLRQ IRU WLPLQJ GLDJUDPV
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
)LJXUH (UDVH 2SHUDWLRQ
(UDVH 6XVSHQG(UDVH 5HVXPH &RPPDQGV
7KH (UDVH 6XVSHQG FRPPDQG %K DOORZV WKH V\VWHP WR LQWHUUXSW D VHFWRU HUDVH
RSHUDWLRQ DQG WKHQ UHDG GDWD IURP RU SURJUDP GDWD WR DQ\ VHFWRU QRW VHOHFWHG
IRU HUDVXUH 7KH EDQN DGGUHVV LV UHTXLUHG ZKHQ ZULWLQJ WKLV FRPPDQG 7KLV FRP
PDQG LV YDOLG RQO\ GXULQJ WKH VHFWRU HUDVH RSHUDWLRQ LQFOXGLQJ WKH V WLPHRXW
SHULRG GXULQJ WKH VHFWRU HUDVH FRPPDQG VHTXHQFH 7KH (UDVH 6XVSHQG FRPPDQG
LV LJQRUHG LI ZULWWHQ GXULQJ WKH FKLS HUDVH RSHUDWLRQ RU (PEHGGHG 3URJUDP
DOJRULWKP 7KH EDQN DGGUHVV PXVW FRQWDLQ RQH RI WKH VHFWRUV FXUUHQWO\ VHOHFWHG
IRU HUDVH
:KHQ WKH (UDVH 6XVSHQG FRPPDQG LV ZULWWHQ GXULQJ WKH VHFWRU HUDVH RSHUDWLRQ
WKH GHYLFH UHTXLUHV D PD[LPXP RI V WR VXVSHQG WKH HUDVH RSHUDWLRQ +RZ
HYHU ZKHQ WKH (UDVH 6XVSHQG FRPPDQG LV ZULWWHQ GXULQJ WKH VHFWRU HUDVH
WLPHRXW WKH GHYLFH LPPHGLDWHO\ WHUPLQDWHV WKH WLPHRXW SHULRG DQG VXVSHQGV
WKH HUDVH RSHUDWLRQ
$IWHU WKH HUDVH RSHUDWLRQ KDV EHHQ VXVSHQGHG WKH EDQN HQWHUV WKH HUDVHVXV
SHQGUHDG PRGH 7KH V\VWHP FDQ UHDG GDWD IURP RU SURJUDP GDWD WR DQ\ VHFWRU
QRW VHOHFWHG IRU HUDVXUH 7KH GHYLFH HUDVH VXVSHQGV DOO VHFWRUV VHOHFWHG IRU
HUDVXUH 5HDGLQJ DW DQ\ DGGUHVV ZLWKLQ HUDVHVXVSHQGHG VHFWRUV SURGXFHV VWD
WXV LQIRUPDWLRQ RQ '4'4 7KH V\VWHP FDQ XVH '4 RU '4 DQG '4
WRJHWKHU WR GHWHUPLQH LI D VHFWRU LV DFWLYHO\ HUDVLQJ RU LV HUDVHVXVSHQGHG 5HIHU
WR WKH :ULWH 2SHUDWLRQ 6WDWXV VHFWLRQ IRU LQIRUPDWLRQ RQ WKHVH VWDWXV ELWV
START
Write Erase
Command Sequence
(Notes 1, 2)
Data Poll to Erasing
Bank from System
Data = FFh?
No
Yes
Erasure Completed
Embedded
Erase
algorithm
in progress
1RWHV
TrrUhiyr
"
srhrphqrrpr
! Trrurrpv9R"svshvurrp
rhrvr
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$IWHU DQ HUDVHVXVSHQGHG SURJUDP RSHUDWLRQ LV FRPSOHWH WKH EDQN UHWXUQV WR WKH
HUDVHVXVSHQGUHDG PRGH 7KH V\VWHP FDQ GHWHUPLQH WKH VWDWXV RI WKH SURJUDP
RSHUDWLRQ XVLQJ WKH '4 RU '4 VWDWXV ELWV MXVW DV LQ WKH VWDQGDUG %\WH 3URJUDP
RSHUDWLRQ 5HIHU WR WKH :ULWH 2SHUDWLRQ 6WDWXV VHFWLRQ IRU PRUH LQIRUPDWLRQ
,Q WKH HUDVHVXVSHQGUHDG PRGH WKH V\VWHP FDQ DOVR LVVXH WKH DXWRVHOHFW FRP
PDQG VHTXHQFH 7KH GHYLFH DOORZV UHDGLQJ DXWRVHOHFW FRGHV HYHQ DW DGGUHVVHV
ZLWKLQ HUDVLQJ VHFWRUV VLQFH WKH FRGHV DUH QRW VWRUHG LQ WKH PHPRU\ DUUD\ :KHQ
WKH GHYLFH H[LWV WKH DXWRVHOHFW PRGH WKH GHYLFH UHYHUWV WR WKH (UDVH 6XVSHQG
PRGH DQG LV UHDG\ IRU DQRWKHU YDOLG RSHUDWLRQ 5HIHU WR WKH $XWRVHOHFW 0RGH DQG
$XWRVHOHFW &RPPDQG 6HTXHQFH VHFWLRQV IRU GHWDLOV
7R UHVXPH WKH VHFWRU HUDVH RSHUDWLRQ WKH V\VWHP PXVW ZULWH WKH (UDVH 5HVXPH
FRPPDQG 7KH EDQN DGGUHVV RI WKH HUDVHVXVSHQGHG EDQN LV UHTXLUHG ZKHQ ZULW
LQJ WKLV FRPPDQG )XUWKHU ZULWHV RI WKH 5HVXPH FRPPDQG DUH LJQRUHG $QRWKHU
(UDVH 6XVSHQG FRPPDQG FDQ EH ZULWWHQ DIWHU WKH FKLS KDV UHVXPHG HUDVLQJ
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
7DEOH 6-/+ &RPPDQG 'HILQLWLRQV
8hq
Trrpr
Ir
&
\
F
O
H
V
78pyrIr!$
Av
Trpq
Uuvq
Au
Avsu
Tvu
6qq 9hh 6qq 9hh
6qq
9hh
6qq
9hh
6qq
9hh
6qq
9hh
SrhqIr%
S6
S9
SrrIr&
YYY
A
6




r
y
r
p



I


r

'
HhshprD9
Xq
#
$$$
66
!66
$$
76$$$
(
76Y
7r
666
$$$
76666
9rvprD9Ir(
Xq
%
$$$
66
!66
$$
76$$$
(
76Y
Trr
Uhiyr
$
76Y@
Trr
Uhiyr
$
76YA
Trr
Uhiyr
$
7r
666
$$$
76666
76Y!
76Y 8
76Y @
TrpTvTrpAhp
QrpIr
Xq
#
$$$
66
!66
$$
76$$$
(
76Y"
'!
!
7r
666
$$$
76666
76Y%
TrpTrp7ypx
QrpWrvs
Ir
Xq
#
$$$
66
!66
$$
76$$$
(
T6Y!
%\WH
$$$
%$ $$$
T6Y#
@rTrpTvTrpSrtv
Xq
"
$$$
66
!66
$$
$$$
''
7r
666
$$$
666
@vTrpTvTrpSrtv
Xq
#
$$$
66
!66
$$
$$$
(
YYY
7r
666
$$$
666
Qth
Xq
#
$$$
66
!66
$$
$$$
6
Q6
Q9
7r
666
$$$
666
Vypx7h
Xq
"
$$$
66
!66
$$
$$$
!
7r
666
$$$
666
Vypx7hQthIr !
!
YYY
6
Q6
Q9
Vypx7hSrrIr "
!
YYY
(
YYY
8uv@hr
Xq
%
$$$
66
!66
$$
$$$
'
$$$
66
!66
$$
$$$
7r
666
$$$
666
666
$$$
666
Trp@hr
Xq
%
$$$
66
!66
$$
$$$
'
$$$
66
!66
$$
T6
"
7r
666
$$$
666
666
$$$
@hrTrqIr #
76
7
@hrSrrIr $
76
"
8ADRrIr %
Xq
$$
('
7r
66
/HJHQG
Y29phr
S626qqrsurryphvirrhq
S929hhrhqsyphvS6qvtrhqrhv
Q626qqrsurryphvirthrq6qqrr
yhpuurshyyvtrqtrsurX@8@yruvpurruhr
yhr
Q929hhirthrqhyphvQ69hhyhpururvvt
rqtrsX@8@yruvpurruhrsv
T626qqrsurrpirrvsvrqvhryrpqr
rhrq6qqriv6!6 !vryryrphrpSrsr
hiyr
"
hq
#
svshvrphqqrr
7626qqrsurihxuhvirvtvpurqhryrpqrv
vihqrvirvtrhrq6!6 'vryryrphihx
1RWHV
TrrUhiyr
sqrpvvsirhv
! 6yyhyrhrvurhqrpvhy
" @prsurrhqppyrhqursusvsuhqvuppyrs
urhryrpphqrrprhyyippyrhrvr
ppyr
# 9hhiv9R $9R'hrqphrvphqrrpr
rprsS9hqQ9
$ Vyrurvrrqhqqriv6!6 hrqphrs
ypxhqphqppyryrT6Q6vrvrq
% Iypxphqppyrrvrqurihxvrhqvt
hhqhh
& UurSrrphqvrvrqrurrhqqr
urrhrrqrhqqrvsrvyv@hrTrq
urhihxvvurhryrpqrvs9R$truvtu
uvyrurihxvvqvthvshv
' Uursuppyrsurhryrpphqrrprvhrhq
ppyrUurrvqrurihxhqqrihvur
hshprD9qrvprD9TrpTvTrpshprp
vshv9hhiv9R $9R'hrqphrXuvyrrhqvt
urhryrphqqrrurihxhqqrirurhr
vyhrrphqvtvrTrrur6ryrp8hq
Trrprrpvsrvshv
( Aqry !urqrvprD9irrhqhpursu
svsuhqvuppyr
Uurqhhv'!usshpypxrq#!usprypxrqhq
!usshpprypxrq
Uurqhhvushrprqrprpiypxhq u
shrprqrprpiypx
! UurVypx7hphqvrvrqvurVypx
7hQthphq
" UurVypx7hSrrphqvrvrqrur
rhqqrururihxvvurypxihqr
# Uurrhrhqhqthvrhvtrp
rrurhryrpqrurvur@hrTrqqr
Uur@hrTrqphqvhyvqyqvthrprhr
rhvhqrvrurihxhqqr
$ Uur@hrSrrphqvhyvqyqvtur@hr
Trqqrhqrvrurihxhqqr
% 8hqvhyvqurqrvprvrhqrhqhhqhh
urqrvprvvhryrpqr
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
:ULWH 2SHUDWLRQ 6WDWXV
7KH GHYLFH SURYLGHV VHYHUDO ELWV WR GHWHUPLQH WKH VWDWXV RI D SURJUDP RU HUDVH
RSHUDWLRQ '4 '4 '4 '4 DQG '4 7DEOH
DQG WKH IROORZLQJ VXEVHF
WLRQV GHVFULEH WKH IXQFWLRQ RI WKHVH ELWV '4 DQG '4 HDFK RIIHU D PHWKRG IRU
GHWHUPLQLQJ ZKHWKHU D SURJUDP RU HUDVH RSHUDWLRQ LV FRPSOHWH RU LQ SURJUHVV
7KH GHYLFH DOVR SURYLGHV D KDUGZDUHEDVHG RXWSXW VLJQDO 5<%< WR GHWHUPLQH
ZKHWKHU DQ (PEHGGHG 3URJUDP RU (UDVH RSHUDWLRQ LV LQ SURJUHVV RU KDV EHHQ
FRPSOHWHG
'4 'DWD 3ROOLQJ
7KH 'DWD 3ROOLQJ ELW '4 LQGLFDWHV WR WKH KRVW V\VWHP ZKHWKHU DQ (PEHGGHG
3URJUDP RU (UDVH DOJRULWKP LV LQ SURJUHVV RU FRPSOHWHG RU ZKHWKHU D EDQN LV LQ
(UDVH 6XVSHQG 'DWD 3ROOLQJ LV YDOLG DIWHU WKH ULVLQJ HGJH RI WKH ILQDO :( SXOVH
LQ WKH FRPPDQG VHTXHQFH
'XULQJ WKH (PEHGGHG 3URJUDP DOJRULWKP WKH GHYLFH RXWSXWV RQ '4 WKH FRP
SOHPHQW RI WKH GDWXP SURJUDPPHG WR '4 7KLV '4 VWDWXV DOVR DSSOLHV WR
SURJUDPPLQJ GXULQJ (UDVH 6XVSHQG :KHQ WKH (PEHGGHG 3URJUDP DOJRULWKP LV
FRPSOHWH WKH GHYLFH RXWSXWV WKH GDWXP SURJUDPPHG WR '4 7KH V\VWHP PXVW
SURYLGH WKH SURJUDP DGGUHVV WR UHDG YDOLG VWDWXV LQIRUPDWLRQ RQ '4 ,I D SUR
JUDP DGGUHVV IDOOV ZLWKLQ D SURWHFWHG VHFWRU 'DWD 3ROOLQJ RQ '4 LV DFWLYH IRU
DSSUR[LPDWHO\ V WKHQ WKDW EDQN UHWXUQV WR WKH UHDG PRGH
'XULQJ WKH (PEHGGHG (UDVH DOJRULWKP 'DWD 3ROOLQJ SURGXFHV D RQ '4
:KHQ WKH (PEHGGHG (UDVH DOJRULWKP LV FRPSOHWH RU LI WKH EDQN HQWHUV WKH (UDVH
6XVSHQG PRGH 'DWD 3ROOLQJ SURGXFHV D RQ '4 7KH V\VWHP PXVW SURYLGH
DQ DGGUHVV ZLWKLQ DQ\ RI WKH VHFWRUV VHOHFWHG IRU HUDVXUH WR UHDG YDOLG VWDWXV LQ
IRUPDWLRQ RQ '4
$IWHU DQ HUDVH FRPPDQG VHTXHQFH LV ZULWWHQ LI DOO VHFWRUV VHOHFWHG IRU HUDVLQJ
DUH SURWHFWHG 'DWD 3ROOLQJ RQ '4 LV DFWLYH IRU DSSUR[LPDWHO\ V WKHQ WKH
EDQN UHWXUQV WR WKH UHDG PRGH ,I QRW DOO VHOHFWHG VHFWRUV DUH SURWHFWHG WKH (P
EHGGHG (UDVH DOJRULWKP HUDVHV WKH XQSURWHFWHG VHFWRUV DQG LJQRUHV WKH VHOHFWHG
VHFWRUV WKDW DUH SURWHFWHG +RZHYHU LI WKH V\VWHP UHDGV '4 DW DQ DGGUHVV ZLWKLQ
D SURWHFWHG VHFWRU WKH VWDWXV PD\ QRW EH YDOLG
:KHQ WKH V\VWHP GHWHFWV '4 KDV FKDQJHG IURP WKH FRPSOHPHQW WR WUXH GDWD
LW FDQ UHDG YDOLG GDWD DW '4'4 RU '4'4 IRU [RQO\ GHYLFH RQ WKH IRO
ORZLQJ
UHDG F\FOHV -XVW SULRU WR WKH FRPSOHWLRQ RI DQ (PEHGGHG 3URJUDP RU (UDVH
RSHUDWLRQ '4 PD\ FKDQJH DV\QFKURQRXVO\ ZLWK '4'4 '4'4 IRU [
RQO\ GHYLFH ZKLOH 2XWSXW (QDEOH 2( LV DVVHUWHG ORZ 7KDW LV WKH GHYLFH PD\
FKDQJH IURP SURYLGLQJ VWDWXV LQIRUPDWLRQ WR YDOLG GDWD RQ '4 'HSHQGLQJ RQ
ZKHQ WKH V\VWHP VDPSOHV WKH '4 RXWSXW LW PD\ UHDG WKH VWDWXV RU YDOLG GDWD
(YHQ LI WKH GHYLFH KDV FRPSOHWHG WKH SURJUDP RU HUDVH RSHUDWLRQ DQG '4 KDV
YDOLG GDWD WKH GDWD RXWSXWV RQ '4'4 PD\ EH VWLOO LQYDOLG 9DOLG GDWD RQ
'4'4 RU '4'4 IRU [RQO\ GHYLFH ZLOO DSSHDU RQ VXFFHVVLYH UHDG
F\FOHV
7DEOH
VKRZV WKH RXWSXWV IRU 'DWD 3ROOLQJ RQ '4 )LJXUH VKRZV WKH 'DWD
3ROOLQJ DOJRULWKP )LJXUH LQ WKH $& &KDUDFWHULVWLFV VHFWLRQ VKRZV WKH 'DWD
3ROOLQJ WLPLQJ GLDJUDP
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
)LJXUH 'DWD 3ROOLQJ $OJRULWKP
DQ7 = Data?
Yes
No
No
DQ5 = 1?
No
Yes
Yes
FAIL
PASS
Read DQ7DQ0
Addr = VA
Read DQ7DQ0
Addr = VA
DQ7 = Data?
START
1RWHV
W62Whyvqhqqrsthvt9vth
rprhrrhvhhyvqhqqrvhrp
hqqrvuvurrpirvtrhrq9vtpuv
rhrhhyvqhqqrvhrprqrp
hqqr
! 9R&uyqirrpurpxrqrrvs9R$2ó irphr
9R&hpuhtrvyhryvu9R$
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
5<%< 5HDG\%XV\
7KH 5<%< LV D GHGLFDWHG RSHQGUDLQ RXWSXW SLQ ZKLFK LQGLFDWHV ZKHWKHU DQ
(PEHGGHG $OJRULWKP LV LQ SURJUHVV RU FRPSOHWH 7KH 5<%< VWDWXV LV YDOLG DIWHU
WKH ULVLQJ HGJH RI WKH ILQDO :( SXOVH LQ WKH FRPPDQG VHTXHQFH 6LQFH 5<%<
LV DQ RSHQGUDLQ RXWSXW VHYHUDO 5<%< SLQV FDQ EH WLHG WRJHWKHU LQ SDUDOOHO ZLWK
D SXOOXS UHVLVWRU WR 9
&&
,I WKH RXWSXW LV ORZ %XV\ WKH GHYLFH LV DFWLYHO\ HUDVLQJ RU SURJUDPPLQJ 7KLV
LQFOXGHV SURJUDPPLQJ LQ WKH (UDVH 6XVSHQG PRGH ,I WKH RXWSXW LV KLJK 5HDG\
WKH GHYLFH LV LQ WKH UHDG PRGH WKH VWDQGE\ PRGH RU RQH RI WKH EDQNV LV LQ WKH
HUDVHVXVSHQGUHDG PRGH
7DEOH
VKRZV WKH RXWSXWV IRU 5<%<
'4 7RJJOH %LW ,
7RJJOH %LW , RQ '4 LQGLFDWHV ZKHWKHU DQ (PEHGGHG 3URJUDP RU (UDVH DOJRULWKP
LV LQ SURJUHVV RU FRPSOHWH RU ZKHWKHU WKH GHYLFH KDV HQWHUHG WKH (UDVH 6XVSHQG
PRGH 7RJJOH %LW , PD\ EH UHDG DW DQ\ DGGUHVV DQG LV YDOLG DIWHU WKH ULVLQJ HGJH
RI WKH ILQDO :( SXOVH LQ WKH FRPPDQG VHTXHQFH SULRU WR WKH SURJUDP RU HUDVH
RSHUDWLRQ DQG GXULQJ WKH VHFWRU HUDVH WLPHRXW
'XULQJ DQ (PEHGGHG 3URJUDP RU (UDVH DOJRULWKP RSHUDWLRQ VXFFHVVLYH UHDG F\
FOHV WR DQ\ DGGUHVV FDXVH '4 WR WRJJOH 7KH V\VWHP PD\ XVH HLWKHU 2( RU &(
WR FRQWURO WKH UHDG F\FOHV :KHQ WKH RSHUDWLRQ LV FRPSOHWH '4 VWRSV WRJJOLQJ
$IWHU DQ HUDVH FRPPDQG VHTXHQFH LV ZULWWHQ LI DOO VHFWRUV VHOHFWHG IRU HUDVLQJ
DUH SURWHFWHG '4 WRJJOHV IRU DSSUR[LPDWHO\ V WKHQ UHWXUQV WR UHDGLQJ
DUUD\ GDWD ,I QRW DOO VHOHFWHG VHFWRUV DUH SURWHFWHG WKH (PEHGGHG (UDVH DOJR
ULWKP HUDVHV WKH XQSURWHFWHG VHFWRUV DQG LJQRUHV WKH VHOHFWHG VHFWRUV WKDW DUH
SURWHFWHG
7KH V\VWHP FDQ XVH '4 DQG '4 WRJHWKHU WR GHWHUPLQH ZKHWKHU D VHFWRU LV DF
WLYHO\ HUDVLQJ RU LV HUDVHVXVSHQGHG :KHQ WKH GHYLFH LV DFWLYHO\ HUDVLQJ WKDW LV
WKH (PEHGGHG (UDVH DOJRULWKP LV LQ SURJUHVV '4 WRJJOHV :KHQ WKH GHYLFH HQ
WHUV WKH (UDVH 6XVSHQG PRGH '4 VWRSV WRJJOLQJ +RZHYHU WKH V\VWHP PXVW
DOVR XVH '4 WR GHWHUPLQH ZKLFK VHFWRUV DUH HUDVLQJ RU HUDVHVXVSHQGHG $OWHU
QDWLYHO\ WKH V\VWHP FDQ XVH '4 VHH WKH VXEVHFWLRQ RQ '4 'DWD 3ROOLQJ
,I D SURJUDP DGGUHVV IDOOV ZLWKLQ D SURWHFWHG VHFWRU '4 WRJJOHV IRU DSSUR[L
PDWHO\ V DIWHU WKH SURJUDP FRPPDQG VHTXHQFH LV ZULWWHQ WKHQ UHWXUQV WR
UHDGLQJ DUUD\ GDWD
'4 DOVR WRJJOHV GXULQJ WKH HUDVHVXVSHQGSURJUDP PRGH DQG VWRSV WRJJOLQJ
RQFH WKH (PEHGGHG 3URJUDP DOJRULWKP LV FRPSOHWH
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
)LJXUH 7RJJOH %LW $OJRULWKP
'4 7RJJOH %LW ,,
7KH 7RJJOH %LW ,, RQ '4 ZKHQ XVHG ZLWK '4 LQGLFDWHV ZKHWKHU D SDUWLFXODU
VHFWRU LV DFWLYHO\ HUDVLQJ WKDW LV WKH (PEHGGHG (UDVH DOJRULWKP LV LQ SURJUHVV
RU ZKHWKHU WKDW VHFWRU LV HUDVHVXVSHQGHG 7RJJOH %LW ,, LV YDOLG DIWHU WKH ULVLQJ
HGJH RI WKH ILQDO :( SXOVH LQ WKH FRPPDQG VHTXHQFH
START
No
Yes
Yes
DQ5 = 1?
No
Yes
Toggle Bit
= Toggle?
No
Program/Erase
Operation Not
Complete, Write
Reset Command
Program/Erase
Operation Complete
Toggle Bit
= Toggle?
Read Byte Twice
(DQ7DQ0)
Address = VA
Read Byte
(DQ7DQ0)
Address =VA
Read Byte
(DQ7DQ0)
Address =VA
Ir)Uurruyqrpurpxurttyrivrrvs
9R$2ó irphrurttyrivhttyvth9R$
puhtró Trrurirpv9R%hq9R!s
rvshv
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
'4 WRJJOHV ZKHQ WKH V\VWHP UHDGV DW DGGUHVVHV ZLWKLQ WKRVH VHFWRUV WKDW KDYH
EHHQ VHOHFWHG IRU HUDVXUH 7KH V\VWHP PD\ XVH HLWKHU 2( RU &( WR FRQWURO WKH
UHDG F\FOHV %XW '4 FDQQRW GLVWLQJXLVK ZKHWKHU WKH VHFWRU LV DFWLYHO\ HUDVLQJ RU
LV HUDVHVXVSHQGHG '4 E\ FRPSDULVRQ LQGLFDWHV ZKHWKHU WKH GHYLFH LV DFWLYHO\
HUDVLQJ RU LV LQ (UDVH 6XVSHQG EXW FDQQRW GLVWLQJXLVK ZKLFK VHFWRUV DUH VHOHFWHG
IRU HUDVXUH 7KXV ERWK VWDWXV ELWV DUH UHTXLUHG IRU VHFWRU DQG PRGH LQIRUPDWLRQ
5HIHU WR 7DEOH
WR FRPSDUH RXWSXWV IRU '4 DQG '4
)LJXUH VKRZV WKH WRJJOH ELW DOJRULWKP LQ IORZFKDUW IRUP DQG WKH VHFWLRQ '4
7RJJOH %LW ,, H[SODLQV WKH DOJRULWKP 6HH DOVR WKH '4 7RJJOH %LW , VXEVHFWLRQ
)LJXUH VKRZV WKH WRJJOH ELW WLPLQJ GLDJUDP )LJXUH VKRZV WKH GLIIHUHQFHV
EHWZHHQ '4 DQG '4 LQ JUDSKLFDO IRUP
5HDGLQJ 7RJJOH %LWV '4'4
5HIHU WR )LJXUH IRU WKH IROORZLQJ GLVFXVVLRQ :KHQHYHU WKH V\VWHP LQLWLDOO\ EH
JLQV UHDGLQJ WRJJOH ELW VWDWXV LW PXVW UHDG '4'4 RU '4'4 IRU [RQO\
GHYLFH DW OHDVW WZLFH LQ D URZ WR GHWHUPLQH ZKHWKHU D WRJJOH ELW LV WRJJOLQJ 7\S
LFDOO\ WKH V\VWHP ZRXOG QRWH DQG VWRUH WKH YDOXH RI WKH WRJJOH ELW DIWHU WKH ILUVW
UHDG $IWHU WKH VHFRQG UHDG WKH V\VWHP ZRXOG FRPSDUH WKH QHZ YDOXH RI WKH WRJ
JOH ELW ZLWK WKH ILUVW ,I WKH WRJJOH ELW LV QRW WRJJOLQJ WKH GHYLFH KDV FRPSOHWHG
WKH SURJUDP RU HUDVH RSHUDWLRQ 7KH V\VWHP FDQ UHDG DUUD\ GDWD RQ '4'4
RU '4'4 IRU [RQO\ GHYLFH RQ WKH IROORZLQJ UHDG F\FOH
+RZHYHU LI DIWHU WKH LQLWLDO WZR UHDG F\FOHV WKH V\VWHP GHWHUPLQHV WKDW WKH WRJJOH
ELW LV VWLOO WRJJOLQJ WKH V\VWHP DOVR VKRXOG QRWH ZKHWKHU WKH YDOXH RI '4 LV KLJK
VHH WKH VHFWLRQ RQ '4 ,I LW LV WKH V\VWHP VKRXOG WKHQ GHWHUPLQH DJDLQ
ZKHWKHU WKH WRJJOH ELW LV WRJJOLQJ VLQFH WKH WRJJOH ELW PD\ KDYH VWRSSHG WRJJOLQJ
MXVW DV '4 ZHQW KLJK ,I WKH WRJJOH ELW LV QR ORQJHU WRJJOLQJ WKH GHYLFH KDV VXF
FHVVIXOO\ FRPSOHWHG WKH SURJUDP RU HUDVH RSHUDWLRQ ,I LW LV VWLOO WRJJOLQJ WKH
GHYLFH GLG QRW FRPSOHWHG WKH RSHUDWLRQ VXFFHVVIXOO\ DQG WKH V\VWHP PXVW ZULWH
WKH UHVHW FRPPDQG WR UHWXUQ WR UHDGLQJ DUUD\ GDWD
7KH UHPDLQLQJ VFHQDULR LV WKDW WKH V\VWHP LQLWLDOO\ GHWHUPLQHV WKDW WKH WRJJOH ELW
LV WRJJOLQJ DQG '4 KDV QRW JRQH KLJK 7KH V\VWHP PD\ FRQWLQXH WR PRQLWRU WKH
WRJJOH ELW DQG '4 WKURXJK VXFFHVVLYH UHDG F\FOHV GHWHUPLQLQJ WKH VWDWXV DV GH
VFULEHG LQ WKH SUHYLRXV SDUDJUDSK $OWHUQDWLYHO\ LW PD\ FKRRVH WR SHUIRUP RWKHU
V\VWHP WDVNV ,Q WKLV FDVH WKH V\VWHP PXVW VWDUW DW WKH EHJLQQLQJ RI WKH DOJR
ULWKP ZKHQ LW UHWXUQV WR GHWHUPLQH WKH VWDWXV RI WKH RSHUDWLRQ WRS RI )LJXUH
'4 ([FHHGHG 7LPLQJ /LPLWV
'4 LQGLFDWHV ZKHWKHU WKH SURJUDP RU HUDVH WLPH KDV H[FHHGHG D VSHFLILHG LQ
WHUQDO SXOVH FRXQW OLPLW 8QGHU WKHVH FRQGLWLRQV '4 SURGXFHV D LQGLFDWLQJ
WKDW WKH SURJUDP RU HUDVH F\FOH ZDV QRW VXFFHVVIXOO\ FRPSOHWHG
7KH GHYLFH PD\ RXWSXW D RQ '4 LI WKH V\VWHP WULHV WR SURJUDP D WR D
ORFDWLRQ WKDW ZDV SUHYLRXVO\ SURJUDPPHG WR 2QO\ DQ HUDVH RSHUDWLRQ FDQ
FKDQJH D EDFN WR D
8QGHU WKLV FRQGLWLRQ WKH GHYLFH KDOWV WKH RSHUD
WLRQ DQG ZKHQ WKH WLPLQJ OLPLW KDV EHHQ H[FHHGHG '4 SURGXFHV D
8QGHU ERWK WKHVH FRQGLWLRQV WKH V\VWHP PXVW ZULWH WKH UHVHW FRPPDQG WR UHWXUQ
WR WKH UHDG PRGH RU WR WKH HUDVHVXVSHQGUHDG PRGH LI D EDQN ZDV SUHYLRXVO\
LQ WKH HUDVHVXVSHQGSURJUDP PRGH
'4 6HFWRU (UDVH 7LPHU
$IWHU ZULWLQJ D VHFWRU HUDVH FRPPDQG VHTXHQFH WKH V\VWHP PD\ UHDG '4 WR GH
WHUPLQH ZKHWKHU RU QRW HUDVXUH KDV EHJXQ 7KH VHFWRU HUDVH WLPHU GRHV QRW
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
DSSO\ WR WKH FKLS HUDVH FRPPDQG ,I DGGLWLRQDO VHFWRUV DUH VHOHFWHG IRU HUDVXUH
WKH HQWLUH WLPHRXW DOVR DSSOLHV DIWHU HDFK DGGLWLRQDO VHFWRU HUDVH FRPPDQG
:KHQ WKH WLPHRXW SHULRG LV FRPSOHWH '4 VZLWFKHV IURP D WR D ,I WKH
WLPH EHWZHHQ DGGLWLRQDO VHFWRU HUDVH FRPPDQGV IURP WKH V\VWHP FDQ EH DV
VXPHG WR EH OHVV WKDQ V WKH V\VWHP QHHG QRW PRQLWRU '4 6HH DOVR WKH
6HFWRU (UDVH &RPPDQG 6HTXHQFH VHFWLRQ
$IWHU WKH VHFWRU HUDVH FRPPDQG LV ZULWWHQ WKH V\VWHP VKRXOG UHDG WKH VWDWXV RI
'4 'DWD 3ROOLQJ RU '4 7RJJOH %LW , WR HQVXUH WKDW WKH GHYLFH KDV DFFHSWHG
WKH FRPPDQG VHTXHQFH DQG WKHQ UHDG '4 ,I '4 LV WKH (PEHGGHG (UDVH
DOJRULWKP KDV EHJXQ DOO IXUWKHU FRPPDQGV H[FHSW (UDVH 6XVSHQG DUH LJQRUHG
XQWLO WKH HUDVH RSHUDWLRQ LV FRPSOHWH ,I '4 LV WKH GHYLFH ZLOO DFFHSW DGGL
WLRQDO VHFWRU HUDVH FRPPDQGV 7R HQVXUH WKH FRPPDQG KDV EHHQ DFFHSWHG WKH
V\VWHP VRIWZDUH VKRXOG FKHFN WKH VWDWXV RI '4 SULRU WR DQG IROORZLQJ HDFK VXE
VHTXHQW VHFWRU HUDVH FRPPDQG ,I '4 LV KLJK RQ WKH VHFRQG VWDWXV FKHFN WKH
ODVW FRPPDQG PLJKW QRW KDYH EHHQ DFFHSWHG
7DEOH
VKRZV WKH VWDWXV RI '4 UHODWLYH WR WKH RWKHU VWDWXV ELWV
7DEOH :ULWH 2SHUDWLRQ 6WDWXV
1RWHV
9R$vpurõ urh@irqqrqQth@irqqrq@hrrhvuhrprrqrqurhvvvt
yvvSrsrurrpv9R$srvshv
! 9R&hq9R!rvrhhyvqhqqrurrhqvthvshvSrsrurhvhrirpvssur
qrhvy
" Xurrhqvtvrrhvhivurrhyhvqrurihxhqqrurrur@irqqrq
6ytvuvvtrUurqrvprhhqhhvsurrhqqrrhiihx
6WDWXV
'4
1RWH
'4
'4
1RWH
'4
'4
1RWH
5<%<
6WDQGDUG
0RGH
(PEHGGHG 3URJUDP $OJRULWKP
'4
7RJJOH
1$
1R WRJJOH
(PEHGGHG (UDVH $OJRULWKP
7RJJOH
7RJJOH
(UDVH
6XVSHQG
0RGH
(UDVH6XVSHQG
5HDG
(UDVH
6XVSHQGHG 6HFWRU
1R WRJJOH
1$
7RJJOH
1RQ(UDVH
6XVSHQGHG 6HFWRU
'DWD
'DWD
'DWD
'DWD
'DWD
(UDVH6XVSHQG3URJUDP
'4
7RJJOH
1$
1$
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$EVROXWH 0D[LPXP 5DWLQJV
ThtrUrrhr
QyhvpQhpxhtrñ%$8 $8
6ivrUrrhr
vuQr6yvrqñ%$8 !$8
WyhtrvuSrrpBq
W
&&
Ir ñ$W#W
6(P@hqS@T@U
Ir!ñ$W !$W
XQ688ñ$W $W
6yyurvIr ñ$WW
&&
$W
PTu8vpv8rIr" !6
1RWHV
Hvv98yhtrvDPvvñ$W9vtyhtrhvvvDPvhruW
66
ñ
!Wsrvqs!Hhv98yhtrvDPvvW
&&
$WTrr
Avtr&
9vtyhtr
hvvvDPvhruW
&&
!Wsrvq!Trr
Avtr'
! Hvv98vyhtrv6(P@S@T@UhqXQ688vñ$W9vtyhtrhvv6(P@XQ
688hqS@T@UhruW
66
ñ!Wsrvqs!Trr
Avtr&
Hhv98vyhtr
v6(v !$Wuvpuhru #Wsrvq!Hhv98vyhtrXQ688v
($Wuvpuhru !Wsrvq!
" Iruhrhirurqtqhhvr9hvsurupvpvuyqirtrhruhr
rpq
Trrhiruryvrqqró6iyrHhvShvthphrrhrqhhtrurqrvprUuvvhr
hvty0spvhyrhvsurqrvprhurrhurpqvvhirurvqvphrqvurrhvhy
rpvsuvqhhurrvvyvrq@rsurqrvprhiyrhvhvtpqvvsrrqrqrvq
hhssrpqrvprryvhivyv
2SHUDWLQJ 5DQJHV
,QGXVWULDO , 'HYLFHV
$PELHQW 7HPSHUDWXUH 7
$
& WR &
9
&&
6XSSO\ 9ROWDJHV
9
&&
IRU VWDQGDUG YROWDJH UDQJH 9 WR 9
Prhvthtrqrsvruryvvirrruvpuurspvhyvsurqrvprv
thhrrq
)LJXUH 0D[LPXP 1HJDWLYH
2YHUVKRRW :DYHIRUP
)LJXUH 0D[LPXP 3RVLWLYH
2YHUVKRRW :DYHIRUP
QV
QV
9
9
QV
9
QV
QV
9
88
9
9
88
9
QV
9
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
'& &KDUDFWHULVWLFV
&026 &RPSDWLEOH
1RWHV
UurD
&&
pryvrqvvphyyyruh!6HCvuP@hW
,+
! HhvD
&&
rpvsvphvhrrrqvuW
&&
2W
&&
h
" D
&&
hpvruvyr@irqqrq@hr@irqqrqQthvvtr
# 6hvpyrrqrrhiyruryrqrurhqqrrrhvhiyrs
$&&
"Uvphyyrrqr
prv!6
$ I rrq
3DUDPHWHU
6\PERO
3DUDPHWHU 'HVFULSWLRQ
7HVW &RQGLWLRQV
0LQ
7\S
0D[
8QLW
,
GD
,QSXW /RDG &XUUHQW
9
DI
9
TT
WR 9
88
9
88
9
88 h
$
,
GDU
$ 2( DQG 5(6(7 ,QSXW /RDG
&XUUHQW
9
88
9
88h
2( 9
DC
$ RU
2( RU 5(6(7 9
$
,
GP
2XWSXW /HDNDJH &XUUHQW
9
PVU
9
TT
WR 9
88
9
88
9
88h
2( 9
DC
$
,
GS
5HVHW /HDNDJH &XUUHQW
9
88
9
88h
5(6(7
9
$
,
88
9
88
$FWLYH 5HDG &XUUHQW
1RWHV
&( 9
G
2(
2
9
%\WH 0RGH
0+]
P$
0+]
&( 9
G
2(
9 :RUG 0RGH
0+]
0+]
,
88!
9
88
$FWLYH :ULWH &XUUHQW 1RWHV
&( 9
G
2( 9 :( 9
G
P$
,
88"
9
88
6WDQGE\ &XUUHQW 1RWH
&( 5(6(7 9
88
9
$
,
88#
9
88
5HVHW &XUUHQW 1RWH
5(6(7 9
9
$
,
88$
$XWRPDWLF 6OHHS 0RGH 1RWHV 9 9
88
9
9
G
9
9
$
,
88%
9
88
$FWLYH 5HDG:KLOH3URJUDP
&XUUHQW 1RWHV
&( 9
G
2( 9
%\WH
P$
:RUG
,
88&
9
88
$FWLYH 5HDG:KLOH(UDVH
&XUUHQW 1RWHV
&( 9
G
2( 9
%\WH
P$
:RUG
,
88'
9
88
$FWLYH 3URJUDP:KLOH(UDVH
6XVSHQGHG &XUUHQW 1RWHV
&( 9
G
2( 9
P$
9
G
,QSXW /RZ 9ROWDJH
9
9
,QSXW +LJK 9ROWDJH
[ 9
88
9
88
9
9
9ROWDJH IRU :3 $&& 6HFWRU
3URWHFW8QSURWHFW DQG 3URJUDP
$FFHOHUDWLRQ
9
88
9
9
V
ID
9ROWDJH IRU $XWRVHOHFW DQG
7HPSRUDU\ 6HFWRU 8QSURWHFW
9
88
9
9
9
G
2XWSXW /RZ 9ROWDJH
,
G
P$ 9
88
9
88v
9
9
2XWSXW +LJK 9ROWDJH
,
P$ 9
88
9
88v
[ 9
88
9
9
!
,
$ 9
88
9
88v
9
88
9
GF
/RZ 9
88
/RFN2XW 9ROWDJH 1RWH
9
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
'& &KDUDFWHULVWLFV
=HUR3RZHU )ODVK
Ir)6qqrrhrvpuvth HC
)LJXUH ,
&&
&XUUHQW YV 7LPH 6KRZLQJ $FWLYH DQG $XWRPDWLF 6OHHS &XUUHQWV
25
20
15
10
5
0
0
500
1000
1500
2000
2500
3000
3500
4000
S
u
p
p
ly
Cu
r
r
e
n
t in m
A
Time in ns
10
8
2
0
1
2
3
4
5
Frequency in MHz
S
upply
C
u
r
r
e
n
t in
mA
Ir)U2!$ð8
)LJXUH 7\SLFDO ,
&&
YV )UHTXHQF\
2.7 V
3.6 V
4
6
12
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
7HVW &RQGLWLRQV
7DEOH 7HVW 6SHFLILFDWLRQV
.H\ 7R 6ZLWFKLQJ :DYHIRUPV
7HVW &RQGLWLRQ
8QLW
2XWSXW /RDG
77/ JDWH
2XWSXW /RDG &DSDFLWDQFH &
/
LQFOXGLQJ MLJ FDSDFLWDQFH
S)
,QSXW 5LVH DQG )DOO 7LPHV
QV
,QSXW 3XOVH /HYHOV
RU 9FF
9
,QSXW WLPLQJ PHDVXUHPHQW UHIHUHQFH OHYHOV
9FF
9
2XWSXW WLPLQJ PHDVXUHPHQW UHIHUHQFH OHYHOV
9FF
9
N
&/
N
""W
'HYLFH
8QGHU
7HVW
Ir)9vqrhrDI"%#rvhyr
)LJXUH 7HVW 6HWXS
:$9()250
,13876
2873876
6WHDG\
&KDQJLQJ IURP + WR /
&KDQJLQJ IURP / WR +
'RQW &DUH $Q\ &KDQJH 3HUPLWWHG
&KDQJLQJ 6WDWH 8QNQRZQ
'RHV 1RW $SSO\
&HQWHU /LQH LV +LJK ,PSHGDQFH 6WDWH +LJK =
Vcc
0.0 V
0.5 Vcc
0.5 Vcc
Output
Measurement Level
Input
)LJXUH ,QSXW :DYHIRUPV DQG 0HDVXUHPHQW /HYHOV
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
5HDG2QO\ 2SHUDWLRQV
1RWHV
I rrq
6HH )LJXUH DQG 7DEOH
IRU WHVW VSHFLILFDWLRQV
" Hrhrrrsrqiyhpvth$urvhvurqhhvvuhivhsW
&&
!UurvrsP@
uvtuurqhhiqvrW
&&
!vhxrh
')
3DUDPHWHU
'HVFULSWLRQ
7HVW 6HWXS
6SHHG 2SWLRQV
-('(&
6WG
8QLW
W
$9$9
W
5&
5HDG &\FOH 7LPH 1RWH
0LQ
QV
W
$949
W
$&&
$GGUHVV WR 2XWSXW 'HOD\
&(
2( 9
,/
0D[
QV
W
(/49
W
&(
&KLS (QDEOH WR 2XWSXW 'HOD\
2( 9
,/
0D[
QV
W
*/49
W
2(
2XWSXW (QDEOH WR 2XWSXW 'HOD\
0D[
QV
W
(+4=
W
')
&KLS (QDEOH WR 2XWSXW +LJK = 1RWHV
0D[
QV
W
*+4=
W
')
2XWSXW (QDEOH WR 2XWSXW +LJK = 1RWHV
0D[
QV
W
$;4;
W
2+
2XWSXW +ROG 7LPH )URP $GGUHVVHV &( RU
2( :KLFKHYHU 2FFXUV )LUVW
0LQ
QV
W
2(+
2XWSXW (QDEOH +ROG 7LPH
1RWH
5HDG
0LQ
QV
7RJJOH DQG
'DWD 3ROOLQJ
0LQ
QV
t
OH
t
CE
Outputs
WE#
Addresses
CE#
OE#
HIGH Z
Output Valid
HIGH Z
Addresses Stable
t
RC
t
ACC
t
OEH
t
RH
t
OE
t
RH
0 V
RY/BY#
RESET#
t
DF
)LJXUH 5HDG 2SHUDWLRQ 7LPLQJV
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
+DUGZDUH 5HVHW 5(6(7
1RWHI rrq
3DUDPHWHU
'HVFULSWLRQ
$OO 6SHHG 2SWLRQV
8QLW
-('(&
6WG
W
5HDG\
5(6(7 3LQ /RZ 'XULQJ (PEHGGHG $OJRULWKPV
WR 5HDG 0RGH 6HH 1RWH
0D[
V
W
5HDG\
5(6(7 3LQ /RZ 127 'XULQJ (PEHGGHG
$OJRULWKPV WR 5HDG 0RGH 6HH 1RWH
0D[
QV
W
53
5(6(7 3XOVH :LGWK
0LQ
QV
W
5+
5HVHW +LJK 7LPH %HIRUH 5HDG 6HH 1RWH
0LQ
QV
W
53'
5(6(7 /RZ WR 6WDQGE\ 0RGH
0LQ
V
W
5%
5<%< 5HFRYHU\ 7LPH
0LQ
QV
RESET#
RY/BY#
RY/BY#
t
RP
t
Ready
Reset Timings NOT during Embedded Algorithms
t
Ready
CE#, OE#
t
RH
CE#, OE#
Reset Timings during Embedded Algorithms
RESET#
t
RP
t
RB
)LJXUH 5HVHW 7LPLQJV
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
:RUG%\WH &RQILJXUDWLRQ %<7(
3DUDPHWHU
6SHHG 2SWLRQV
-('(&
6WG
'HVFULSWLRQ
8QLW
W
(/)/
W
(/)+
&( WR %<7( 6ZLWFKLQJ /RZ RU +LJK
0D[
QV
W
)/4=
%<7( 6ZLWFKLQJ /RZ WR 2XWSXW +,*+ =
0D[
QV
W
)+49
%<7( 6ZLWFKLQJ +LJK WR 2XWSXW $FWLYH
0LQ
QV
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
'4
2XWSXW
'DWD 2XWSXW
'4'4
&(
2(
%<7(
W
(/)/
'4'4
'DWD 2XWSXW
'4'4
'4$
$GGUHVV
,QSXW
W
)/4=
%<7(
6ZLWFKLQJ
IURP ZRUG
WR E\WH
PRGH
'4
2XWSXW
'DWD 2XWSXW
'4'4
%<7(
W
(/)+
'4'4
'DWD 2XWSXW
'4'4
'4$
$GGUHVV
,QSXW
W
)+49
%<7(
6ZLWFKLQJ
IURP E\WH
WR ZRUG
PRGH
)LJXUH %<7( 7LPLQJV IRU 5HDG 2SHUDWLRQV
1RWHSrsrur@hrQthPrhvhiyrs
$6
hq
$+
rpvsvphv
)LJXUH %<7( 7LPLQJV IRU :ULWH 2SHUDWLRQV
&(
:(
%<7(
7KH IDOOLQJ HGJH RI WKH ODVW :( VLJQDO
W
CPG9
W
6C
W
T@U
W
6T
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
(UDVH DQG 3URJUDP 2SHUDWLRQV
Ir)
I rrq
! Trruró@hr6qQthvtQrshprrpvsrvshv
3DUDPHWHU
6SHHG 2SWLRQV
-('(&
6WG
'HVFULSWLRQ
8QL
W
W
$9$9
W
:&
:ULWH &\FOH 7LPH 1RWH
0LQ
QV
W
$9:/
W
$6
$GGUHVV 6HWXS 7LPH
0LQ
QV
W
$62
$GGUHVV 6HWXS 7LPH WR 2( ORZ GXULQJ WRJJOH ELW
SROOLQJ
0LQ
QV
W
:/$;
W
$+
$GGUHVV +ROG 7LPH
0LQ
QV
W
$+7
$GGUHVV +ROG 7LPH )URP &( RU 2( KLJK
GXULQJ WRJJOH ELW SROOLQJ
0LQ
QV
W
'9:+
W
'6
'DWD 6HWXS 7LPH
0LQ
QV
W
:+';
W
'+
'DWD +ROG 7LPH
0LQ
QV
W
2(3+
2XWSXW (QDEOH +LJK GXULQJ WRJJOH ELW SROOLQJ
0LQ
QV
W
*+:/
W
*+:/
5HDG 5HFRYHU\ 7LPH %HIRUH :ULWH
2( +LJK WR :( /RZ
0LQ
QV
W
(/:/
W
&6
&( 6HWXS 7LPH
0LQ
QV
W
:+(+
W
&+
&( +ROG 7LPH
0LQ
QV
W
:/:+
W
:3
:ULWH 3XOVH :LGWK
0LQ
QV
W
:+'/
W
:3+
:ULWH 3XOVH :LGWK +LJK
0LQ
QV
W
65:
/DWHQF\ %HWZHHQ 5HDG DQG :ULWH 2SHUDWLRQV
0LQ
QV
W
:+:+
W
:+:+
3URJUDPPLQJ 2SHUDWLRQ 1RWH
%\WH
7\S
V
:RUG
7\S
W
:+:+
W
:+:+
$FFHOHUDWHG 3URJUDPPLQJ 2SHUDWLRQ
%\WH RU :RUG 1RWH
7\S
V
W
:+:+
W
:+:+
6HFWRU (UDVH 2SHUDWLRQ 1RWH
7\S
VHF
W
9&6
9
&&
6HWXS 7LPH 1RWH
0LQ
V
W
5%
:ULWH 5HFRYHU\ 7LPH IURP 5<%<
0LQ
QV
W
%86<
3URJUDP(UDVH 9DOLG WR 5<%< 'HOD\
0D[
QV
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
OE#
WE#
CE#
V
CC
Data
Addresses
t
DS
t
AH
t
DH
t
WP
PD
t
WHWH1
t
WC
t
AS
t
WPH
t
VCS
555h
PA
PA
Read Status Data (last two cycles)
A0h
t
CS
Status
D
OUT
Program Command Sequence (last two cycles)
RY/BY#
t
RB
t
BUSY
t
CH
PA
1RWHV
Q62thhqqrQ92thqhh9
287
vurrqhhhurthhqqr
! Dyyhvuqrvprvqqr
)LJXUH 3URJUDP 2SHUDWLRQ 7LPLQJV
WP#/ACC
t
VHH
V
HH
V
IL
or V
IH
V
IL
or V
IH
t
VHH
)LJXUH $FFHOHUDWHG 3URJUDP 7LPLQJ 'LDJUDP
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
OE#
CE#
Addresses
V
CC
WE#
Data
2AAh
SA
t
AH
t
WP
t
WC
t
AS
t
WPH
555h for chip erase
10 for Chip Erase
30h
t
DS
t
VCS
t
CS
t
DH
55h
t
CH
In
Progress
Complete
t
WHWH2
VA
VA
Erase Command Sequence (last two cycles)
Read Status Data
RY/BY#
t
RB
t
BUSY
1RWHV
T62rphqqrsTrp@hrW62Whyvq6qqrsrhqvthqhhrróXvrPrhvTh
7KHVH ZDYHIRUPV DUH IRU WKH ZRUG PRGH
)LJXUH &KLS6HFWRU (UDVH 2SHUDWLRQ 7LPLQJV
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
OE#
CE#
WE#
Addresses
t
OH
Data
Valid
In
Valid
In
Valid PA
Valid RA
t
WC
t
WPH
t
AH
t
WP
t
DS
t
DH
t
RC
t
CE
Valid
Out
t
OE
t
ACC
t
OEH
t
GHWL
t
DF
Valid
In
CE# or CE2# Controlled Write Cycles
WE# Controlled Write Cycle
Valid PA
Valid PA
t
CP
t
CPH
t
WC
t
WC
Read Cycle
t
SR/W
)LJXUH %DFNWREDFN 5HDG:ULWH &\FOH 7LPLQJV
WE#
CE#
OE
High Z
t
OE
High Z
DQ7
DQ0DQ6
RY/BY#
t
BUSY
Compleme
Tru
Addresses
VA
t
OEH
t
CE
t
CH
t
OH
VA
VA
Status
Complement
Status
Tru
Valid Data
Valid Data
t
ACC
1RWHW62WhyvqhqqrDyyhvusvhppyrhsrphqrrpryhhrhqppyrhqhh
qhhrhqppyr
)LJXUH 'DWD 3ROOLQJ 7LPLQJV 'XULQJ (PEHGGHG $OJRULWKPV
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
OE#
CE#
WE#
Addresses
t
OEH
t
DH
t
AHT
t
ASO
t
OEPH
t
OE
Valid Data
(first read)
(second read)
(stops toggling)
t
CEPH
t
AHT
t
AS
DQ6/DQ2
Valid Data
Valid
Status
Valid
Status
Valid
Status
RY/BY#
Ir)W62Whyvqhqqr0rvrqs9R%Dyyhvusvhppyrhsrphqrrpryhhrhqppyr
hqhhqhhrhqppyr
)LJXUH 7RJJOH %LW 7LPLQJV 'XULQJ (PEHGGHG $OJRULWKPV
Ir)9R!ttyryurrhqhhhqqrvuvhrhrrqrqrpUurrhrP@8@
ttyr9R!hq9R%
)LJXUH '4 YV '4
Enter
Erase
Erase
Erase
Enter Erase
Suspend Program
Erase Suspend
Read
Erase Suspend
Read
Erase
WE#
DQ6
DQ2
Erase
Complete
Erase
Suspend
Suspend
Program
Resume
Embedded
Erasing
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
7HPSRUDU\ 6HFWRU 8QSURWHFW
Ir)I rrq
3DUDPHWHU
$OO 6SHHG 2SWLRQV
-('(&
6WG
'HVFULSWLRQ
8QLW
W
9,'5
9
,'
5LVH DQG )DOO 7LPH 6HH 1RWH
0LQ
QV
W
9++
9
++
5LVH DQG )DOO 7LPH 6HH 1RWH
0LQ
QV
W
563
5(6(7 6HWXS 7LPH IRU 7HPSRUDU\ 6HFWRU
8QSURWHFW
0LQ
V
W
55%
5(6(7 +ROG 7LPH IURP 5<%< +LJK IRU
7HPSRUDU\ 6HFWRU 8QSURWHFW
0LQ
V
RESET#
t
VIDR
V
ID
V
SS
, V
IL
,
or V
IH
V
ID
V
SS
, V
IL
,
or V
IH
CE#
WE#
RY/BY#
t
VIDR
t
RSP
Program or Erase Command Sequence
t
RRB
)LJXUH 7HPSRUDU\ 6HFWRU 8QSURWHFW 7LPLQJ 'LDJUDP
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
Sector Group Protect: 150 s
Sector Group Unprotect: 15 ms
1 s
RESET#
SA, A6,
A1, A0
Data
CE#
WE#
OE#
60h
60h
40h
Valid*
Valid*
Valid*
Status
Sector Group Protect/Unprotect
Verify
V
ID
V
IH
Arprp6%26 2 62Arprp6%2 6 2 62
)LJXUH 6HFWRU6HFWRU %ORFN 3URWHFW DQG
8QSURWHFW 7LPLQJ 'LDJUDP
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
$OWHUQDWH &( &RQWUROOHG (UDVH DQG 3URJUDP 2SHUDWLRQV
Ir)
I rrq
! Trruró@hr6qQthvtQrshprrpvsrvshv
3DUDPHWHU
6SHHG 2SWLRQV
-('(&
6WG
'HVFULSWLRQ
8QLW
W
$9$9
W
:&
:ULWH &\FOH 7LPH 1RWH
0LQ
QV
W
$9:/
W
$6
$GGUHVV 6HWXS 7LPH
0LQ
QV
W
(/$;
W
$+
$GGUHVV +ROG 7LPH
0LQ
QV
W
'9(+
W
'6
'DWD 6HWXS 7LPH
0LQ
QV
W
(+';
W
'+
'DWD +ROG 7LPH
0LQ
QV
W
*+(/
W
*+(/
5HDG 5HFRYHU\ 7LPH %HIRUH :ULWH
2( +LJK WR :( /RZ
0LQ
QV
W
:/(/
W
:6
:( 6HWXS 7LPH
0LQ
QV
W
(+:+
W
:+
:( +ROG 7LPH
0LQ
QV
W
(/(+
W
&3
&( 3XOVH :LGWK
0LQ
QV
W
(+(/
W
&3+
&( 3XOVH :LGWK +LJK
0LQ
QV
W
:+:+
W
:+:+
3URJUDPPLQJ 2SHUDWLRQ
1RWH
%\WH
7\S
V
:RUG
7\S
W
:+:+
W
:+:+
$FFHOHUDWHG 3URJUDPPLQJ 2SHUDWLRQ
%\WH RU :RUG 1RWH
7\S
V
W
:+:+
W
:+:+
6HFWRU (UDVH 2SHUDWLRQ 1RWH
7\S
VHF
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
$& &KDUDFWHULVWLFV
t
GHEL
t
WS
OE#
CE#
WE#
RESET#
t
DS
Data
t
AH
Addresses
t
DH
t
CP
DQ7#
D
OUT
t
WC
t
AS
t
CPH
PA
Data# Polling
A0 for program
55 for erase
t
RH
t
WHWH1 or 2
RY/BY#
t
WH
PD for program
30 for sector erase
10 for chip erase
555 for program
2AA for erase
PA for program
SA for sector erase
555 for chip erase
t
BUSY
Ir)
Avtrvqvphryhippyrshthrhrrhv
3$ SURJUDP DGGUHVV 6$ VHFWRU DGGUHVV 3' SURJUDP GDWD
'4 LV WKH FRPSOHPHQW RI WKH GDWD ZULWWHQ WR WKH GHYLFH '
287
LV WKH GDWD ZULWWHQ WR WKH GHYLFH
# Xhrshrsurqqr
)LJXUH $OWHUQDWH &( &RQWUROOHG :ULWH (UDVH3URJUDP 2SHUDWLRQ 7LPLQJV
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
(UDVH $QG 3URJUDPPLQJ 3HUIRUPDQFH
1RWHV
Uvphythhqrhrvrhrursyyvtpqvv)!$8W
&&
2"W ppyr0purpxrihqqhh
hr
! Vqrphrpqvvs(8W
&&
2!&W ppyr
" Uurvphypuvthvtvrvpvqrhiyyruhurhvpuvthvtvryvrqvprir
thshruhurhvthvryvrq
# Durrthvtrsur@irqqrq@hrhytvuhyyirhrthrquirsrrhr
$ TryrryrurhqvurvrrvrqrrprursippyrrrprsurthphqTrr
Uhiyr
"
ssurvshvphqqrsvvv
% Uurqrvpruhhvvppyvtrqhprs ppyrrrp
7623 3LQ &DSDFLWDQFH
1RWHV
Thyrq rrq
! UrpqvvU
$
2!$8s2 HC
3DUDPHWHU
UIr
HhIr!
Vv
8r
Trp@hrUvr
#
!
rp
@pyqruthvt
vrhrIr#
8uv@hrUvr
!'
rp
7rQthUvr
#
'
@pyqrryrry
rurhqIr$
XqQthUvr
%
6ppryrhrq7rXqQthUvr
#
&
8uvQthUvr
Ir"
7rHqr
%'
%"
rp
XqHqr
!%
#!
6ppryrhrqHqr
"
QhhrrTiy
Qhhrr9rpvv
UrTr
U
Hh
Vv
8
,1
D8hhpvhpr
W
,1
2
UTPQ
%
&$
A
8
287
P8hhpvhpr
W
287
2
UTPQ
'$
!
A
8
,1
8yQv8hhpvhpr
W
,1
2
UTPQ
&$
(
A
6-/+
6-/+B$ $XJXVW
$ G Y D Q F H , Q I R U P D W L R Q
3K\VLFDO 'LPHQVLRQV
76 3LQ 6WDQGDUG 7623
6
2
3
4
5
7
8
9
TS/TSR 048
MO-142 (D) DD
48
MIN
0.05
0.95
0.17
0.17
0.10
0.10
18.30
19.80
0.50
0
0.08
11.90
0.50 BASIC
MAX
0.15
1.20
0.27
0.16
0.21
8
0.20
18.50
12.10
0.70
20.20
0.23
1.05
0.20
1.00
0.22
18.40
20.00
0.60
12.00
NOM
Symbol
Jedec
Package
b1
A2
A1
A
D
L
e
E
D1
b
c1
c
0
R
N
1
NOTES:
CONTROLLING DIMENSIONS ARE IN MILLIMETERS (mm).
(DIMENSIONING AND TOLERANCING CONFORMS TO ANSI Y14.5M-1982)
PIN 1 IDENTIFIER FOR REVERSE PIN OUT (DIE UP).
PIN 1 IDENTIFIER FOR REVERSE PIN OUT (DIE DOWN), INK OR LASER MARK.
TO BE DETERMINED AT THE SEATING PLANE -C- . THE SEATING PLANE IS DEFINED AS THE PLANE OF
CONTACT THAT IS MADE WHEN THE PACKAGE LEADS ARE ALLOWED TO REST FREELY ON A FLAT
HORIZONTAL SURFACE.
DIMENSIONS D1 AND E DO NOT INCLUDE MOLD PROTRUSION. ALLOWABLE MOLD PROTUSION IS
0.15mm (.0059") PER SIDE.
DIMENSION b DOES NOT INCLUDE DAMBAR PROTUSION. ALLOWABLE DAMBAR PROTUSION SHALL BE
0.08 (0.0031") TOTAL IN EXCESS OF b DIMENSION AT MAX. MATERIAL CONDITION. MINIMUM SPACE
BETWEEN PROTRUSION AND AN ADJACENT LEAD TO BE 0.07 (0.0028").
THESE DIMENSIONS APPLY TO THE FLAT SECTION OF THE LEAD BETWEEN 0.10MM (.0039") AND
0.25MM (0.0098") FROM THE LEAD TIP.
LEAD COPLANARITY SHALL BE WITHIN 0.10mm (0.004") AS MEASURED FROM THE SEATING PLANE.
DIMENSION "e" IS MEASURED AT THE CENTERLINE OF THE LEADS.
N
+1
2
N
1
2
N
3
REVERSE PIN OUT (TOP VIEW)
C
e
A1
A2
2X (N/2 TIPS)
0.10
9
SEATING
PLANE
A
SEE DETAIL A
B
B
A
B
E
D1
D
2X
2X (N/2 TIPS)
0.25
2X
0.10
0.10
N
5
+1
N
2
4
5
1
N
2
2
STANDARD PIN OUT (TOP VIEW)
SEE DETAIL B
DETAIL A
(c)
L
0.25MM (0.0098") BSC
C
R
GAUGE PLANE
PARALLEL TO
SEATING PLANE
b
b1
(c)
7
6
c1
WITH PLATING
BASE METAL
7
0.08MM (0.0031") M C A - B S
SECTION B-B
DETAIL B
X
e/2
X = A OR B
3355 \ 16-038.10c
$XJXVW 6-/+B$
6-/+
$ G Y D Q F H , Q I R U P D W L R Q
5HYLVLRQ 6XPPDU\
5HYLVLRQ $ 0D\
,QLWLDO UHOHDVH
5HYLVLRQ $ $XJXVW
6HF6L 6HFWRU )ODVK 0HPRU\ 5HJLRQ
5HZRUGHG KRZ WKH 6HF6L 6HFWRU DUHD FDQ EH SURWHFWHG
5HPRYHG 6HF6L 6HFWRU 3URWHFW 9HULI\ IORZFKDUW
&026 &RPSDWLEOH
8SGDWHG 2XWSXW /RZ 9ROWDJH
(UDVH DQG 3URJUDPPLQJ 3HUIRUPDQFH
8SGDWHG :RUG DQG %\WH 0RGH IRU WKH &KLS 3URJUDP 7LPH
8yu
Uurqpqrpvirqvuvqprhrqrvtrqqrryrqhqhshprqhpryhrqstrrhyrvpyqvtvuyvvhvqvh
vqvhyrtrrhyssvprrrhyrhquruyqrihrqrvtrqqrryrqhqhshprqhpryhrq shruh
vpyqrshhyvxqhtruhyrrrryuvtuhsrvrprqpyquhrhrvrssrpuriyvphqpyqyrhqqvrpyqrhurhy
vwrrruvphyqhhtruryvrpyrhrhpvpyvpyrhshpvyvhvphssyvtupyhvhssvppyhhpy
rqvphyyvsrrvvyryhpupyvrhr!shrurrpuhprsshvyrvvyrhiyrvrirviyrrrhrhq
hvsvpvhyhryyvrQyrhrruhThvvyyiryvhiyrhqhuvqhshpyhvqhhtrhvvtvprpvvuhirr
vrqrsurqp6rvpqpqrvpruhrhvurrpuhprsshvyr`rphthvvwqhhtryspushvyr
ivphvthsrqrvtrhrvshpvyvhqrvrpuhrqqhpsvrrpvhqrrvsrpryrryhqur
hihyrhvtpqvvDshqpqrpvirqvuvqprrrrtqrpuytvriwrpprhvrvpvrqr
urArvt@puhtrhqArvtUhqrGhsEhhurVT@6qvvhvSrtyhvurhyvphiyryhshurpurvh
uvhviurrrpvrtrrrvvyyirrvrqsrsurqp
UhqrhxhqIvpr
UurprsuvqprhriwrppuhtrvuvprUuvqprhphvvshvhThvqpqrqrryri
ThvGG8ThvGG8rrrurvtupuhtrqvpvrxhqpvuvprUurvshvvuvqprvvqrq
hvvuhhthhrrshxvqhvhpphppyrrrrhivyvsvrshvpyhrrpuhhivyvvsvtrr
suvqhvtuhurhhrrvyvrqhThvGG8hryvhivyvshqhhtrshxvqhvvtsur
rsurvshvvuvqpr
8vtu!#ThvGG86yyvturrrqThvurThvytHv7vpivhvurrshq@rAyhuhrhqrhxsTh
vGG8Purphhqqphrrqvuviyvphvhrsvqrvsvphvryhqhirhqrhxsurvrrpvrph
vr